湖北文理学院基于FPGA自动打铃的开题报告.doc

湖北文理学院基于FPGA自动打铃的开题报告.doc

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
本课题的研究目的和意义,国内外研究现状,水平和发展趋势 1.研究目的和意义 当今社会,电子技术的应用无处不在,电子技术正在不断地改变我们的生活,改变着我们的世界。在这快速发展的年代,时间对人们来说是越来越宝贵,在快节奏的生活时,人们往往忘记了时间,一旦遇到重要的事情而忘记了时间,这将会带来很大的损失。因此我们需要一个定时系统来提醒这些忙碌的人。数字化的时钟给人们带来了极大的方便。近些年,随着科技的发展和社会的进步,人们对时钟的要求也越来越高,传统的时钟已不能满足人们的需求。多功能数字钟不管在性能还是在样式上都发生了质的变化,学校打铃器就是以时钟为基础的,在平时校园生活中是必不可少的工具。数字自动打铃系统为学校上下课时间的准确控制提供了很大的便利,并且在工厂、办公室等场合也起到了提醒人们时间的作用,因此打铃器的设计有一定的实用意义。[1] 潘松.黄继业编著..北京:科学出版社,20010.6:10-11 [2] 赵岩.林白.王志强编著.实用EDA技术与VHDL教程.北京:人民邮电出版社,20 [4] 高吉祥电子技术基础实验与课程设计电子工业出版社,2002[5] 李国洪沈明山.可编程器件EDA技术与实践[M].北京:机械工业出版社2000:56-57[6] 张庆双电子元器件的选用与检测机械工业出版社,2003[7] 李婷基于FPGA的按键弹跳消除模块的研究与应用[J]科技创新导报,2008(2):82-83 [] 邢远秀,陈姚节键盘消抖电路的研究与分析[J]中国科技信息,2008(1):20-22 [] 王开军姜宇柏.面向CPLD/FPGA的VHDL设计[].北京:机械工业出版社2006:28-65[10] 刘君,常明,秦娟.基于硬件描述语言(VHDL)的数字时钟设计[J].天津理工大学学报,2007,23(4)40-41 [11] 郭天祥.51语言单片机c语言教程.北京:电子出版社 [12] 童诗白.华成英.模拟电子技术基础.北京,

文档评论(0)

xingyuxiaxiang + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档