3.1 ModelSim软件的使用.ppt

  1. 1、本文档共101页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
3.1 ModelSim软件的使用

第3章 QuartusⅡ软件与第三方工具 3.1 ModelSim软件的使用 3.1.1 ModelSim软件的主要结构 首次启动ModelSim5.8d软件,可以看到ModelSim的主窗口,包括菜单栏、工具栏、工作区和命令行操作区,如图3.1所示。 在工作区可以根据操作显示Project标签、Library标签、Sim标签(显示Load Design、Hierarchical Structure)以及Files标签;在命令行操作区,可以用命令提示符的方式进行编译、仿真设计,同时打开其他窗口。 3.1.2 ModelSim的简要使用方法 1.建立工程 使用ModelSim建立工程的操作步骤如下: (1) 第一次打开ModelSim会出现Welcome to ModelSim对话框,选择Create a Project,或者启动ModelSim后选择File→New→Project...命令,都会打开Create Project对话框,如图3.2所示。 (2) 在Create Project对话框中,填写test作为Project Name;在Project Location栏中选择Project文件的存储目录;保留Default Library Name的设置为work。 (3) 点击OK按钮确认,在ModelSim软件主窗口的工作区中即增加了一个空的Project标签,同时弹出一个Add items to the Project对话框,如图3.3所示。 (4) 添加包含设计单元的文件。直接点击Add items to the Project对话框中的Add Existing File或Create New File选项可以在工程中加入已经存在的文件或建立新文件。本节我们选择Add Existing File。 也可以点击Close按钮关闭Add items to the Project对话框以后,在ModelSim软件中选择File→Add to Project→Existing Files命令,弹出Add file to Project对话框,如图3.4所示。 点击Add file to Project对话框中的Browse按钮,打开ModelSim安装路径中的examples目录,选取counter.v和tcounter.v文件(注意,同时选取两个文件时,文件名直接用空格隔开),再打开对话框下面的Reference from current location选项,然后点击OK按钮。 (5) 在工作区的Project标签页中可以看到新加入的文件,单击右键,选取Compile→Compile All命令对加入的文件进行编译,如图3.5所示。 (6) 两个文件编译完成后,用鼠标点击Library标签栏。在Library标签页中,用鼠标点击work库前面的加号“+”,展开work库,将会看到两个编译了的设计单元(如果看不到,需要把Library的工作域设为work),如图3.6所示。 (7) 导入一个设计单元。双击Library标签页中的counter,在工作区中将会出现sim标签,其中显示了counter设计单元的结构,如图3.7所示。 到这一步通常就开始运行仿真、分析以及调试设计了,不过这些工作将在后面的讲述中完成。现在,结束仿真并关闭工程。选择Simulate→End Simulation命令,当提示是否退出仿真时选择“是”,然后选择File→Close→Project命令,并确定关闭当前工程。 前面的步骤在工作目录下建立了一个名为tset.mpf的工程文件,该文件中包含了建立工程过程中的所有信息。在任何时间,可以选择File→Open→Project命令打开该工程文件。 2.基本VHDL仿真 1) 准备仿真 在进行仿真之前还应进行以下准备工作: (1) 为本次练习新建一个目录,然后拷贝ModelSim安装目录\Modeltech_5.8d\ examples目录中所有的vhd文件到该目录下。 (2) 启动ModelSim软件,选择File→Change Directory命令,在弹出的Choose folder对话框中设置该目录为当前工作目录。 (3) 在编译任何HDL代码前,要建立一个设计库来存放编译结果。在ModelSim主窗口中,选择File→New→Library命令建立一个新的设计库,如图3.8所示。 在Create a New Library对话框中,选择Create栏中的a new library and a logical mapping to it选项,并在Library Na

文档评论(0)

yurixiang1314 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档