毕业设计(论文)-基于FPGA的曼切斯特编码的设计精选.doc

毕业设计(论文)-基于FPGA的曼切斯特编码的设计精选.doc

  1. 1、本文档共62页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
毕业设计(论文)-基于FPGA的曼切斯特编码的设计精选

毕业设计(论 文) GRADUATE DESIGN (THESIS) 设计(论文)题目 基于FPGA的曼切斯特编码的设计 学 生 学习中心 专 业 电气工程及其自动化 指导教师 二〇一七年 三 月 二 日 摘 要 在电信领域,曼彻斯特码是一种数据通讯线性码,它的每一个数据比特都是由至少一次电压转换的形式所表示的。它是一种超越传统数字传输的信道编码技术,同时被认为是一种自定时码。自定时就意味着完全可以实现数据流的精确同步。每一个比特都准确的在一个预先定义时期的时间中被传送。曼彻斯特编码已经被许多高效率且被广泛使用的电信标准所采用,例如以太网电讯标准。曼彻斯特编码具有隐含时钟、去除了零频率信号的特性,使得它在石油勘探测井中得到广泛的应用[1]。 本文对曼彻斯特编码相关原理和FPGA进行概述,介绍了其编解码规则。对其特点和应用范围进行了说明。提出了曼彻斯特编解码方案,重点运用Verilog HDL语言对其编解码的描述。最后讲述该系统在QuartusⅡ 软件下的运行和仿真。 关键词:FPGA;曼彻斯特编解码;Verilog HDL语言 目 录 1.曼彻斯特码概述 1 1.1 曼彻斯特码简介 1 1.2 曼彻斯特码原理 1 1.3曼彻斯特编解码 2 1.3.1 编码 3 1.3.2 解码 5 2.曼彻斯特编解码实现方法比较 6 2.1 集成方法实现 6 2.2 软件编解码 7 2.2.1单片机实现 7 2.2.2 FPGA/CPLD实现 8 2.2.3 方案选择 8 3.FPGA原理及平台简介 8 3.1 FPGA原理与特点 10 3.2 Quartus II及HDL语言 13 3.2.1 基于Quartus II的FPGA设计 13 3.2.2 Verilog HDL简介 16 4.系统总体设计 19 4.1 编码器 19 4.2解码器 23 ?4.3时钟模块 27 5.系统测试与仿真 28 5.1 曼彻斯特编码仿真 28 5.1.1 编码分析 34 5.2 曼彻斯特解码仿真 35 5.2.1 解码分析 36 6.结论及展望 37 参考文献 38 附录 40 1.曼彻斯特码概述 1.1 曼彻斯特码简介 在电信领域,曼彻斯特码 (也称作相位码或者PE)是一种数据通讯线性码,它的每一个数据比特都是由至少一次电压转换的形式所表示。曼彻斯特编码因此被认为是一种自定时码。自定时意味着是可以实现数据流的精确同步。每一个比特都准确的在一预先定义时间时期的时间中被传送[2]。 曼彻斯特码又称双向码。它是对每个二进制代码分别利用两个具有两个不同相位的二进制新码去取代的码。 双向码的特点是只用两个电平。与用高、低电平表示0, 1的非归零二进制码相比,在连0或连1的情况下,更易于提取同步时钟信息,又无直流漂移,编、译码过程比较简单,且有强的抗干扰能力。 1.2 曼彻斯特码原理 曼彻斯特码是一种常用的用于数字基带传输的码型。它是一种用跳变沿(而非电平)来表示要传输的二进制信息(0或1),一般规定在位元中间用向下跳变表示“1”,用向上跳变表示“0”。 曼彻斯特编码提供了一种简单的方法——在长时间段内没有电平跳变的情况下,仍然能够对任意的二进制序列进行编码,并且防止低通模拟电路中低频直流飘移所引起的比特错误以及防止在这种情况下同步时钟信号的丢失[3]。如果保证传送的编码交流信号的直流分量为零并且能够防止中继信号的基线漂移,那么很容易实现信号的恢复和防止能量的浪费。所以曼彻斯特码具有丰富的位定时信息。 同时,有许许多多的复杂的编码方法中,在达到同等目的情况下只需要减少带宽负荷并且只有减少的同步信号相位。二进制码与曼彻斯特码波形的对比关系如图1-1。 图1-1 二进制码与曼彻斯特码波形 1.3曼彻斯特编解码 本次论文曼彻斯特编解码由编码模块和解码模块二个部分组成。编码模块提供时钟源,并且对输入的待传送原码进行编码,将其转换成曼彻斯特码并发送。解码模块用则是将曼彻斯特码整形后利用同步时钟模块提供的同步信号把它转换成原码输出。二个相对独立的模块相互协同工作共同完成曼彻斯特编解码工作。 1.3.1 编码 曼彻斯特编码是一种自同步的编码方式,即时钟同步信号就隐藏在数据波形中。曼彻斯特编码电平跳变的规则是:低电平的中间时刻跳变表示为‘0’,用高电平中间时刻的跳变表示为‘1’,如下图1-2所示。因而这样防止时钟同步的丢失,或来自低频率位移在贫乏补偿的模拟链接位错误,在这个技术下,实际上的二进制

文档评论(0)

gz2018gz + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档