[理学]03A第三章 组合逻辑电路.ppt

  1. 1、本文档共86页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
[理学]03A第三章 组合逻辑电路

第三章 组合逻辑电路 3.1 组合逻辑电路的分析 3.2 组合逻辑电路的设计 3.3 加法器 集成4位加法器 74LS283 3.4 编码器 一般而言,N个不同的信号,至少需要n位二进制数编码。 N和n之间满足下列关系: 2n≥N 为此目的而设计的编码电路称为二进制编码器,详称为n位二进制编码器 1. 普通4/2线编码器 解:(1)列出真值表: (2)由真值表写出各输出的逻辑表达式为: 3.5 译码器和数据分配器 1. 二进制译码器的一般原理 2. 由门电路构成的2/ 4线译码器 3.5.2 集成译码器 例3:用两片74138扩展为4线—16线译码器 译码器7448 译码器7448 3.6 数据选择器 以4选1数据选择器为例(74LS153) 74LS153的工作原理 介绍集成电路数据选择器74LS151 集成电路数据选择器74LS151的逻辑符号及功能引脚 数据选择器74LS151的应用 3.7 数据分配器 3.8 用MSI实现组合逻辑函数 解:将A、B接到地址输入端,C加到适当的数据输入端。 作出逻辑函数L的真值表,根据真值表画出连线图。 本章小结 1.常用的中规模组合逻辑器件包括编码器、译码器、数据选择器、数值比较器、加法器等。 2.上述组合逻辑器件除了具有其基本功能外,还可用来设计组合逻辑电路。应用中规模组合逻辑器件进行组合逻辑电路设计的一般原则是:使用MSI芯片的个数和品种型号最少,芯片之间的连线最少 3.用MSI芯片设计组合逻辑电路最简单和最常用的方法是,用数据选择器设计多输入、单输出的逻辑函数;用二进制译码器设计多输入、多输出的逻辑函数。 以下为课件所用素材 74LS151的逻辑图 使能输入端 8 路 数 据 输 入 端 地址输入端 2个互补输出端 74LS151功能框图 74LS151引脚图 D7 Y W EN 74LS151 D6 D5 D4 D3 D2 D1 D0 C B A D7 H H H L D6 L H H L D5 H L H L D4 L L H L D3 H H L L D2 L H L L D1 H L L L D0 L L L L H L X X X H A B C EN W Y 选 择 使 能 输 出 输 入 当EN=0时,Y的表达式为: 当EN=1时,Y=0 。无效输出 74LS151的功能表 八选一MUX的逻辑表达式 如需选择多位数据时: 2位八选一数选器的连接方法 可由几个1位的数选器并联而成 D0—D15 为16路数据输入;Y为输出 用两片8选1数选器构成16选1数选器 16选1数据选择器 使能端作为地址输入端的最高位。 2、逻辑函数产生器 从功能表和逻辑图上可知: 当使能端EN=0时,输出Y的表达式为: 当Di=1时,对应的最小项在表达式中出现; 当Di=0时,不出现。 将地址信号CBA作为函数的输入变量,数据输入D0—D7作为控制信号(控制各最小项在输出函数中是否出现),则数选器就成为一个逻辑函数产生器。 1、完成多路数据的传送,如前所述。 D7 W Y EN 74LS151 D6 D5 D4 D3 D2 D1 D0 C B A 0 例 试用8选1数据选择器74LS151产生逻辑函数 =m3D3+ m5D5+ m6D6+ m7D7 显然,当D3=D5=D6=D7=1,             D0=D1=D2=D4=0时, 74151的输出即为逻辑函数L。 解: 使用数据选择器来实现逻辑函数的好处是:逻辑函数无需化简 XYZ 0 1 0 数据分配器:数据的分路。将一个数据源来的数据分时送到多个不同的通道上去。相当于一个单刀多掷开关。 输入数据 数据分配器示意图 D A0 A1 Y3 Y2 Y0 Y1 S 输出数据 通道选择信号 开关S受A1和A0控制 D A0 A1 Y3 Y2 Y0 Y1 S 故可用二─四线译码器作为1路─4路数据分配器 可用三─八线译码器作为1路─8路数据分配器 数据分配器的结构和译码器相同,只是译码器的地址信号在这里作为选择信号而已 例:用3/8线译码器,将数据信号分配到8个不同的通道。 1 0 1 0 此时: … 输 入 输 出 G1 G2B G2A C B A Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 L L X X X X H H H H H H H H H L D L L L D H H H H H H H H L D L L H H D H H H

您可能关注的文档

文档评论(0)

skvdnd51 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档