Design and Implementation of a Statechart Based Reconfigurable Elevator Controller参考.doc

Design and Implementation of a Statechart Based Reconfigurable Elevator Controller参考.doc

  1. 1、本文档共26页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
Design and Implementation of a Statechart Based Reconfigurable Elevator Controller参考

编号: 毕业设计(论文)外文翻译 (原文) 学 院: 机电工程学院 专 业: 电气工程及其自动化 学生姓名: 梁君剑 学 号: 指导教师单位: 机电工程学院 姓 名: 范兴明 职 称: 副教授 2012年5月2日 2011 6th International Conference on Industrial and Information Systems, ICIIS 2011, Aug. 16-19, 2011, Sri Lanka Design and Implementation of a Statechart Based Reconfigurable Elevator Controller H.P.A.P. Jayawardana, Student Member, IEEE, H.W.K.M. Amarasekara, Student Member, IEEE, P.T.S. Peelikumbura, W.A.K.C. Jayathilaka, S.G. Abeyaratne, Member, IEEE and S.D. Dewasurendra Abstract- This paper presents a simple and clear method to design and implement a reconfigurable elevator controller using an FPGA, which can be implemented for an elevator with any (N) number of floors, with specified inputs and outputs. A model based design approach was followed. We started from a state chart model developed for a prototype elevator with three floors. Extension of the model for a variable number of floors was considered. Controller for the prototype system was implemented in ladder logic on a PLC and the limitations of that approach with regard to re-configurability were identified: viz., in the extension of elevator controller for ‘N’ no of floors. Next VHDL code was developed for a reconfigurable elevator controller where, by changing a variable corresponding to the required number of floors, the suitable code can be generated. The controller thus generated can be implemented in an FPGA. The method was successfully tested on a Xilinx Spartan 3ANFPGA. Index Terms- Elevator, FPGA, PLC, Reconfigurable, State Chart, VHDL I. INTRODUCTION In control and automation industry, Programmable Logic Controllers (PLCs) are commonly used to implement controls for complex reactive systems, primarily because of their easy programming environments based on IEC-61131-3. However, they are meant for sequential event processing and not for hard real-time reactive environments wh

文档评论(0)

2017meng + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档