基于FPEG的SOC设计-mips指令系统-(verilog代码).doc

基于FPEG的SOC设计-mips指令系统-(verilog代码).doc

  1. 1、本文档共10页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于FPEG的SOC设计-mips指令系统-(verilog代码)

//------------------------------------------------------- //基于FPEG的SOC设计 // mips.v // Model of subset of MIPS processor described in Ch 1 //------------------------------------------------------- // top level design for testing module top #(parameter WIDTH = 8, REGBITS = 3)(); reg clk; reg reset; wire memread, memwrite; wire [WIDTH-1:0] adr, writedata; wire [WIDTH-1:0] memdata; // instantiate devices to be tested mips #(WIDTH,REGBITS) dut(clk, reset, memdata, memread, memwrite, adr, writedata); // external memory for code and data exmemory #(WIDTH) exmem(clk, memwrite, adr, writedata, memdata); // initialize test initial begin reset = 1; # 22; reset = 0; end // generate clock to sequence tests always begin clk = 1; # 5; clk = 0; # 5; end always@(negedge clk) begin if(memwrite) if(adr == 5 writedata == 7) $display(Simulation completely successful); else $display(Simulation failed); end endmodule // external memory accessed by MIPS module exmemory #(parameter WIDTH = 8) (clk, memwrite, adr, writedata, memdata); input clk; input memwrite; input [WIDTH-1:0] adr, writedata; output reg [WIDTH-1:0] memdata; reg [31:0] RAM [(1WIDTH-2)-1:0]; wire [31:0] word; initial begin $readmemh(memfile.dat,RAM); end // read and write bytes from 32-bit word always @(posedge clk) if(memwrite) case (adr[1:0]) 2b00: RAM[adr2][7:0] = writedata; 2b01: RAM[adr2][15:8] = writedata; 2b10: RAM[adr2][23:16] = writedata; 2b11: RAM[adr2][31:24] = writedata; endcase assign word = RAM[adr2]; always @(*) case (adr[1:0]) 2b00: memdata = word[31:24]; 2b01: memdata = word[23:16]; 2b10: memdata = wo

文档评论(0)

asd522513656 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档