基于C52单片机音乐盒.doc

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于C52单片机音乐盒

基于C51单片机音乐盒 一 摘要 本实验主要使用Keil 3软件编程,使用C语言实现一个小型音乐电子琴程序,使用PROTEUS仿真软件实现仿真,其功能不仅可以使用4x4键盘操作演奏音乐,还可以播放事先写在程序中的音乐文件。 二 使用KEIL 3软件编程并编译文件 1,打开keil3软件,新建一工程文件,命名为“music”并保存在新建的music文件夹里,如下图: 芯片选择AT89C52(本次使用的是C52)。 点击“是”。 此时工栏窗口如左,加入了C51的芯片文件,然后file--new新建一空白文档,按Ctrl+s保存在music工程夹里,保存文件为music.c。 然后点Source Group 1右键,选Add Files To Source Group 1,如下图: 添加后文件如下所示: 2,编写实验音乐盒C语言程序(见附件),然后检查无误后,选择Target 1右键选择Options for Target Target 1设置工程,如下图: 在Target一栏下设置时钟频率Xtal(Mhz)输入11.0592;在Output一栏下勾上Create HEX File;后“确定”。如下图: 最后编译链接无误后产生HEX文件。 三 使用PROTEUS仿真软件建模并仿真 1,打开PROTEUS ISIS程序,新建一C52单片机模型(具体步骤就不说了),建好的模型如下图所示: 2,点击模型中的C52芯片,下载使用KEIL软件编译后产生的HEX文件,如下图: 然后即可开始仿真。 附件: #include reg52.h #include absacc.h #include stdio.h #include math.h #define uchar unsigned char #define uint unsigned int uchar STH0; //定时器计数初值 uchar STL0; bit FY=0; //放乐曲时 FY=1, 电子琴弹奏时 FY=0 uchar Song_Index=0,Tone_Index=0; //放音乐的参数 uchar k, key; sbit SPK=P3^7; sbit LED1=P1^0; sbit LED2=P1^1; uchar code DSY_CODE[]= {0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c, 0x39,0x5e,0x79,0x71}; uchar code Song[][50]= //任意选几首音乐的旋律 { {1,2,3,1,1,2,3,1,3,4,5,3,4,5,5,6,5,3,5,6,5,3,5,3,2,1,2,1,-1}, {3,3,3,4,5,5,5,5,6,5,3,5,3,2,1,5,6,5,3,3,2,1,1,-1}, {3,2,1,3,2,1,1,2,3,2,1,2,3,1,3,4,5,3,4,5,5,6,5,3,5,3,2,1,3,2,1,1,-1}, {10,10,10,9,10,9,10,9,9,6,6,7,8,9,8,7,6,5,6,-1}, {10,10,10,9,10,13,12,13,12,12,9,9,10,11,12,11,10,9,8,10,10,-1}, {13,14,13,12,12,10,12,10,12,9,13,12,10,9,10,10,-1}, {9,13,13,13,8,13,13,13,13,14,15,14,13,14,13,14,10,10,-1}, {13,14,13,12,12,10,12,10,12,13,14,13,14,13,14,10,-1}, {9,13,13,13,8,13,13,13,13,14,15,14,13,13,14,12,13,-1}, {5,5,10,9,8,5,5,5,5,10,9,8,6,6,6,11,10,9,6,-1}, {6,12,12,11,9,10,8,5,5,10,9,8,5,5,5,10,9,8,6,-1}, {6,6,11,10,9,12,12,12,12,13,12,11,9,8,10,10,10,-1}, {10,10,10,10,12,8,9,10,11,1,11,11,11,10,10,10,10,10,9,9,8,9,12,12,12, 11,9,8,-1}, {13,13,8,13,13,13,13,14,15,14,13,14,13,14,10,10,13,14,13,12,12,10,12, 10,12,13,14,13,14,13,14

文档评论(0)

asd522513656 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档