存储器设计范例.pdfVIP

  1. 1、本文档共10页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
存储器设计范例.pdf

第 8 章 存储器设计范例 在数字电路中,存储器是一种能够存储大量二进制信息的逻辑电路,通常用于数字系 统中大量数据的存储。存储器的工作原理是,存储器为每一个存储单元编写一个地址,因此 只有地址指定的那些存储单元才能够与公共的I/O 相连,然后进行存储数据的读/写操作。 通常按存取方式把存储器分为只读存储器(ROM )、随机存储器(RAM )、顺序存储器 和直接存取存储器 4 类。本章将介绍其中的只读存储器(ROM )、随机存储器(RAM )、堆 栈和FIFO 的设计方法。 8.1 只读存储器 (ROM) ROM 是一种重要的时序逻辑存储电路,它的逻辑功能是在地址信号的选择下,从指定 存储单元中读取相应的数据。ROM 只能进行数据的读取,而不能修改或写入新的数据。本 节将以16×8 的ROM 为例,介绍ROM 的设计方法。 1.电路符号 ROM 的电路符号如图8-1-1 所示。输入信号:地址选择信号 addr[3..0] ;使能端en 。输 rom 出信号:数据输出端data[7..0] 。 addr[3..0]addr[3..0] data[7..0]data[7..0] 2 .设计方法 enen 采用文本编辑法,利用 Verilog HDL 语言描述 ROM , inst 代码如下。 图 8-1-1 ROM 的电路符号 (1)代码一:使用 function 说明语句。 module rom(addr,data,en); input[3:0] addr; //地址选择信号 output[7:0] data; //数据输出端 input en; //使能端 reg[7:0] data; function[7:0] romout; input[3:0] addr; case(addr) 3h0 : romout = 8 //数据 3h1 : romout = 8 3h2 : romout = 8 3h3 : romout = 8 3h4 : romout = 8 3h5 : romout = 8 3h6 : romout = 8 3h7 : romout = 8 3h8 : romout = 8 第 8 章 存储器设计范例 255 3h9 : romout = 8 3h10 : romout = 8 3h11 : romout = 8 3h12 : romout = 8 3h13 : romout = 8 3h14 : romout = 8 3h15 : romout = 8 default : romout = 8bx; endcase endfunction always @(*) begin if(en) begin data=romout(addr); end

您可能关注的文档

文档评论(0)

danli208 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档