多路彩灯控制器分析.docx

  1. 1、本文档共17页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
多路彩灯控制器分析

专业实训EDA技术与VHDL课程设计班 级: 电信12-2姓 名: 李 猛 学 号: 1206110207指导教师: 石松宁 成 绩: 电子与信息工程学院多路彩灯控制器摘要在电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段。本文利用VHDL语言设计了一个十六路彩灯控制器,六种花型循环变化,有清零开关,并且可以选择快慢两种节拍。整个系统共有三个输入信号CLK、CLR和KEY,十六路输出信号。时钟信号CLK由外部输入,经分频后控制快慢节奏。将整个系统分为三个部分,分别为时序控制部分、显示控制部分和顶层程序部分。并利用QuartusII软件进行时序仿真和查看RTL图,最终实现多路彩灯控制器。关键词:电子设计自动化(EDA)、彩灯控制器、VHDL、QuartusII1 设计分析现今科学技术飞速发展,人民的生活水平不断提高。在现代生活中,彩灯作为一种装饰物品,既可以增强人们的感观,起到广告宣传的作用,又可以增添节日气氛,为人们的生活增添色彩。随着电子技术的发展,应用系统向着小型化、快速化、大容量、重量轻的方向发展,EDA(Electronic Design Automatic)技术的应用引起电子产品及系统开发的革命性变革。VHDL语言作为可编程逻辑器件的标准语言描述能力强,覆盖面广,抽象能力强,在实际应用中越来越广泛。在这个阶段人们开始追求贯彻整个系统设计的自动化,可以从繁重的设计工作中彻底解脱出来,把精力集中在创造性的方案与概念构思上,从而可以提高设计效率,缩短产品的研制周期。作为一种工业标准硬件描述语言,相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能力,支持自顶向下(Top to Down)和基于库(LibraryBased)的设计的特点。因此设计者可以不必了解硬件结构,从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。用VHDL语言进行数字逻辑电路和数字系统的设计,是电子电路设计方法上的一次革命性变革。与传统设计方法相比,VHDL 语言有很多优点:(1) 与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。(2) VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。(3) VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个开发组共同并行工作才能实现。(4) 对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。(5) VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。1.1 设计思路本文利用VHDL语言设计了一个十六路彩灯控制器,六种花型循环变化,有清零开关,并且可以选择快慢两种节拍。整个系统共有三个输入信号CLK、CLR和KEY,十六路输出信号。时钟信号CLK由外部输入,经分频后控制快慢节奏。运用自顶而下的设计思想,按功能逐层分割实现层次化设计。根据多路彩灯控制器的设计原理,将整个系统分为三个部分,分别为时序控制部分、显示控制部分和顶层程序部分。本设计方案的设计思想比较简单,元件种类使用少,充分利用了各种元件。程序编写简单易懂,并能够灵活地添加或删减程序实现多种花型的变化,有很大的灵活性[2]。显示控制部分中实现的六种花型分别为:表1-1 状态与显示的花型状态显示花型状态S10101010101010101状态S21010101010101010状态S30010010010010010状态S41101101101101101状态S51001010010100101状态S600100010010001001.2系统方框图时序控制部分显示功能部分LED0……LED15顶层设计部分CLK分频循环图1-2 多路彩灯控制器系统方框图2 系统设计及功能2.1 控制部分功能描述时序控制部分的功能是产生输入时钟信号的1/2分频信号和1/4分频信号,用来控制十六路彩灯的节奏变化快慢。显示控制部分的功能是使电路产生六种不同的状态花型并且循环显示,以此实现本次课程设计要求实现的多路彩灯控制器的花型循环显示功能。顶层控制部分是实现时序控制部分与显示部分的元件例化

文档评论(0)

bodkd + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档