Quartus+ModelSim-Altera 联合仿真(Verilog版)Quartus+ModelSim-Altera 联合仿真(Verilog版).pdf

Quartus+ModelSim-Altera 联合仿真(Verilog版)Quartus+ModelSim-Altera 联合仿真(Verilog版).pdf

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
QuartusModelSim-Altera联合仿真(Verilog版)QuartusModelSim-Altera联合仿真(Verilog版)

Quartus+ModelSim-Altera Verilog 联合仿真 ( 版) 作者:L.xiang (注:默认Next/OK/Finish ) Step1:新建工程New Project Wizard...设置路径+工程名+entity 名(project、entity 必须同名); Step2:选择器件:Cyclone II EP2C5F26C8 (例如); Step3:Simulation 设置:ModelSim-Altera + Verilog HDL; Step4:新建文件 ,选Verilog HDL File; Step5:输入代码,并保存为led (.v 文件); Step6:调试 ,修改直到没有Error 为止 (工程目录下同时自动生成了simulation 文件); Step7:生成Test Bench 文件 (.vt):Processing - Start - Start Test Bench Template Writer; Step8:工程目录下C:\altera\text\led1\simulation\modelsim 打开led.vt 文件,并复制 “led_vlg_tst”; Step9:设置Simulation:Assignments+Settings; Step10:Simulation - 仿真扫描时间Time scale:100ps (或其它值),Comple test bench - Tset Benchse...; Step11:点击 New...; Step12:粘贴Test bench name:led_vlg_tst (Step8 中已复制),Top level module in test bench: led_vlg_tst (必须和.vt 文件里的module 名一致); Step13:添加Test bench files:simulation/modelsim/led.vt,并点击Add; Step14:点击 ,即启动ModelSim 进入仿真; Step14:工作区中双击打开i1文件,并将所需要的信号添加到仿真波形图中:Add - To Wave; Step15:点击 ,便可看到完整的波形图了。完成仿真。 ——2013.04.02 杭州

文档评论(0)

pfenejiarz + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档