第11章 直接数字频率合成器的精品.ppt

  1. 1、本文档共34页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第11章 直接数字频率合成器的精品

① 在输入环节加入了一个数据锁存器,用“软设置”代替按键“硬设置”;② 在ROM的验证中,降低了系统的设计规模,减少了系统对逻辑资源的需求。其他类似问题也可参照该方法解决。这在实际的开发设计中,是在硬件验证中最常用也最重要的一种办法。 11.6 系统扩展思路 (1) 根据图11.1,在上述已有设计的基础上,加上相位控制字,将一个具有频率控制和相位控制的DDS的核心部分(相位累加器)重新进行设计和硬件验证:① 使用VHDL程序设计的方法;② 使用参数可设置的LPM兆功能块调用的方式进行设计。 (2) 在用FPGA设计好DDS的核心模块(相位累加器)后,加上DAC电路和LPM电路,构成一个完整的DDS,并用数字示波器等仪器设备进行实际测试,直到达到设计要求为止。 第11章 直接数字频率合成器的设计与分析 第11章 直接数字频率合成器的设计与分析 11.1 系统设计要求 11.2 系统设计方案 11.3 主要VHDL源程序 11.4 系统仿真/硬件验证 11.5 设计技巧分析 11.6 系统扩展思路 11.1 系统设计要求 1971年,美国学者J.Tierncy、C.M.Reader和B.Gold提出了以全数字技术从相位概念出发直接合成所需波形的一种新的频率合成原理。随着技术和水平的提高,一种新的频率合成技术——直接数字频率合成(DDS,Direct Digtal Synthesis)技术得到了飞速发展。 DDS技术是一种把一系列数字形式的信号通过DAC转换成模拟形式的信号合成技术,目前使用最广泛的一种DDS方式是利用高速存储器作查找表,然后通过高速DAC输出已经用数字形式存入的正弦波。 DDS技术具有频率切换时间短(<20 ns),频率分辨率高(0.01 Hz),频率稳定度高,输出信号的频率和相位可以快速程控切换,输出相位可连续,可编程以及灵活性大等优点,它以有别于其他频率合成方法的优越性能和特点成为现代频率合成技术中的姣姣者。DDS广泛用于接受机本振、信号发生器、仪器、通信系统、雷达系统等,尤其适合跳频无线通信系统。 11.2 系统设计方案 11.2.1 DDS的工作原理 图11.1是DDS的基本原理图,频率控制字M和相位控制字分别控制DDS输出正(余)弦波的频率和相位。DDS系统的核心是相位累加器,它由一个累加器和一个N位相位寄存器组成。每来一个时钟脉冲,相位寄存器以步长M增加。 图11.1 DDS基本原理图 11.2.2 DDS的FPGA实现设计 根据图11.1,并假定相位控制字为0,这时DDS的核心部分相位累加器的FPGA的设计可分为如下几个模块:相位累加器adder32b、相位寄存器reg32b、相位调制器adder10b、同步寄存器reg10b、正弦查找表sin_rom,其内部组成框图如图11.2所示。图中,输入信号有时钟输入CLK,频率控制字FWORD,相位控制字PWORD,输出信号为FOUT。 图11.2 DDS内部组成框图 首先利用MATLAB或C语言编程对正弦函数进行采样;然后对采样数据进行二进制转换,其结果作为查找表地址的数值。 用MATLAB语言编写的正弦函数数据采集程序如下: CLEAR TIC; T=2*PI/1024; t=[0:T:2*pi]; y=255*sin(t); round(y); 用C语言编写的正弦函数数据采样程序”ROMDATA”如下: #include stdio.h #include math.h Main( ) { int I; Float s; For ( i=0;i1024;i++) {s=sin(actan(1)*8*i/1024); Printf( %d,%d; \n, (int)((s+1)*1023/2)); } } 两个程序运行之后所得结果是一致的。 在DOS下输入:ROMDATArom_data.mif生成ROM数据文件。 11.3 主要VHDL源程序(有改动) 11.3.1 相位累加器adder32b的VHDL源程序 -- adder32b.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY adder32b IS PORT( a: IN STD_LOGIC_VECTOR(31 DOWNTO 0);

文档评论(0)

bodkd + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档