EDA部分课件VHDL基本语句.ppt

  1. 1、本文档共205页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA部分课件VHDL基本语句

【例】用IF语句设计D型触发器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY dff1 IS PORT (elk, d: IN STD_LOGIC; q: OUT STD_LOGIC); END dff1; ARCHITECTURE structure OF dff1 IS BEGIN PROCESS (elk) BEGIN IF (elk EVENT AND elk=1) THEN q = d; END IF; END PROCESS; END structure; 当clk活动且clk=l时,门闩条件成立,输入信号d代入给输出信号,即输出端口再现输入端口的值;当条件不成立时,输出值不变化。 【例】用IF语句设计二选一电路 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux2 IS PORT (a,b,sel:IN STD_LOGIC; C: OUT STD_LOGIC); END mux2; ARCHITECTURE example OF mux2 IS BEGIN Pi: PROCESS (a, b, sel) BEGIN IF (sel=1) THEN C = a; ELSE C = b; END IF; END PROCESS; END example; 8-3优先编码器真值表 编码器 8-3线优先编码器的输入信号为input(0)、input(0)、input(1)、input(2)、input(3)、input(4)、input(5)、 input(6)和input(7),输出信号为output(0)、output(1)和output(2)。输入信号中input(7)的优先级别最高,依次类推,input(0)的优先级别最低。8-3线优先编码器的真值表如上表所示,表中×为任意值。 【例】8-3线优先编码器的VHDL程序。 LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY ENCODER38 IS PORT(input: IN std_logic_VECTOR(7 DOWNTO 0); output: OUT std_logic_VECTOR(2 DOWNTO 0)); END ENCODER38; ARCHITECTURE ART3 OF ENCODER38 IS BEGIN PROCESS(INPUT) BEGIN IF input(7)=‘0 THEN output=“000; ELSIF input(6)=‘0 THEN output=“001; ELSIF input(5)=‘0 THEN output=“010; ELSIF input(4)=‘0 THEN output=“011; ELSIF input(3)=‘0 THEN output=“100; ELSIF input(2)=‘0 THEN output=“101; ELSIF input(1)=‘0 THEN output=“110; ELSIF input(0)=‘0 THEN output=“111; ELSE output=XXX; END IF ; END PROCESS; END ART3; LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY bianma83 IS PORT(input: IN std_logic_VECTOR(7 DOWNTO 0); output: OUT std_logic_VECTOR(2 DOWNTO 0)); END bianma83; ARCHITECTURE ART3 OF bianma83 IS BEGIN PROCESS(input) BEGIN case input is wheoutput=111; wheoutput=110; wheoutput=101; wheoutput=100; wheoutput=011; wheoutput=010; wheoutput=001; wheoutput=000; -- when others=null; WHEN others=output=XXX;

文档评论(0)

qwd513620855 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档