- 1、本文档共3页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于FPGA的秒表(源程序)
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity miaobiao is
port( Clock : in std_logic;
Reset : in std_logic;
segment_out: out std_logic_vector(6 downto 0);
sweep :out std_logic_vector( 3 downto 0));
end miaobiao;
architecture Behavioral of miaobiao is
signal clk_1k:std_logic;
signal clk_100h:std_logic;
signal cnt4:integer range 0 to 3;
signal data:std_logic_vector(3 downto 0);
signal dout:std_logic_vector(3 downto 0);
signal div,div1:std_logic_vector(26 downto 0):=(others=0);
signal led1,led2,led3,led4:std_logic_vector(3 downto 0);
begin
process(Clock) ---100hz分频
begin
if rising_edge(Clock) then
div=div+1;
if div=399999 then
div=(others=0);
end if;
if div=199999 then
clk_100h=1;
else
clk_100h=0;
end if;
end if;
end process;
process(Clock) ---1khz分频
begin
if rising_edge(Clock) then
div1=div1+1;
if div1=39999 then
div1=(others=0);
end if;
if div1=19999 then
clk_1k=1;
else
clk_1k=0;
end if;
end if;
end process;
process(clk_1k) ---数码管地址扫描
begin
if clk_1kevent and clk_1k=1 then
if cnt4=3 then
cnt4=0;
else cnt4=cnt4+1;
end if;
end if;
end process;
process(cnt4)
begin
case cnt4 is
when 0=dout=1110;
when 1=dout=1101;
when 2=dout=1011;
when 3=dout=0111;
when others=null;
end case;
end process;
process(dout)
begin
case dout is----------数码管位地址相对应的输出段码信号
when 1110=data=led1;
when 1101=data=led2;
when 1011=data=led3;
when 0111=data=led4;
when others=null;
end case;
end process;
process(clk_100h,reset) ---段显示
begin
if reset=0 then
led4=0000;led3=0000;led2=0000;led1=0000;
elsif clk_100hevent and clk_100h=1 then
case led1 is
when1001=led1=0000;
case led2 is
when1001=led2=0000;
case led3 is
when1001=led3=0000;
case led4
您可能关注的文档
最近下载
- Roland罗兰乐器JUNO-Gi 带数字录音功能的便携合成器JUNO-Gi Workshop 04 Realtime Control in the JUNO-Gi支持文档.pdf
- 天正变频器TVFS9说明书.pptx VIP
- 人教版小学三年级上册语文期末.docx VIP
- SW7203数据手册_V13926596180高效率双向升降压.pdf VIP
- GB50070-2024-矿山电力设计规范.doc
- 学前教育_农村幼儿园户外游戏活动现状的调查研究.docx VIP
- 国开农村经济管理形考作业1-4试题及答案.pdf
- 嵌入式系统基础与实践基于ARMCortex-M3内核的STM32微控制器习题答案.pdf
- 学前教育_传统文化在幼儿园环境创设中应用现状调查.docx VIP
- 2024-2025学年人教版数学三年级上册期末测试卷.pdf VIP
文档评论(0)