- 1、本文档共11页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA设计课程设计-出租车计费系统的VHDL设计汇
武汉工业学院计算机系
出租车计费系统的VHDL设计
课程: EDA设计 班级: 网络工程081 姓名: 学号: 080510122 日期: 2011-11-28
一、设计任务与要求
(1)、能够实现计费功能
费用的计算是按行驶里程收费,设出租车的起步价是5.00元,当里程小于3km里时,按起步价收费;当里程大于3km时每公里按1.3元计费。等待累计时间超过2min,按每分钟1.5元计费。所以总费用按下式计算:
总费用=起步价+(里程-3km)*里程单价+等候时间*等候单价
(2)、能够实现显示功能
显示汽车行驶里程:用四位数字显示,显示方式为“XXXX”,单价为km。计程范围为0~99km,计程分辨率为1km。
显示等候时间:用两位数字显示分钟,显示方式为“XX”。计时范围为0~59min,计时分辨率为1min。
显示总费用:用四位数字显示,显示方式为“XXX.X”,单位为元。计价范围为999.9元,计价分辨率为0.1元。
二、设计原理
根据设计要求,系统的输入信号CLK,计价开始信号START,等待信号STOP,里程脉冲信号FIN。系统得输出信号有:总费用数CHA0 ~CHA3,行驶距离KM0 ~KM1,等待时间MIN0 ~MIN1 等。系统的元件框图如下:
系统有两个脉冲输入信号clk_195、fin,两个控制输入开关start、stop;控制过程为:start作为计费开始开关,当start为高电平时,系统开始根据输入的情况计费。当有乘客上车并开始行驶时,fin脉冲到来,进行行驶计费,此时的stop需要置为0;如需停车等待,就把stop变为高电平,并去除fin输入脉冲,进行等待计费;当乘客下车且不等待时,直接将start置为0,系统停止工作;
系统由分频模块、控制模块、计量模块和计费模块四部分组成。计量模块是整个系统实现里程计数和时间计数的重要部分;控制模块是实现不同计费方式的选择部分;设计通过分频模块产生不同频率的脉冲信号来实现系统的计费。计量模块采用1HZ的驱动信号,计费模块采用13HZ、15HZ的驱动信号;计量模块每计数一次,计费模块就实现13次或者15次计数,即为实现计时时的1.5 元/min、计程时的1.3元/km的收
费;系统总体顶层框图为:
三、设计步骤
(一)、分频模块
分频模块是对系统时钟频率进行分频,分别得到15HZ、13HZ、1HZ三种频率;本设计中通过三种不同频率的脉冲信号实现在计程车在行驶、等待两种情况下的不同计费。原理框图如下:
源程序如下:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity fenpin2 is
port ( clk_195 :in std_logic; --频率为195HZ的时钟
clk_13: out std_logic; --频率为13HZ的时钟
clk_15: out std_logic; --频率为15HZ的时钟
clk_1: out std_logic); --频率为1HZ的时钟
end fenpin2;
architecture rt1 of fenpin2 is
signal q_13:integer range 0 to 12; --分频器
signal q_15:integer range 0 to 14; --分频器
signal q_1:integer range 0 to 194; --分频器
begin
process(clk_195)
begin
if (clk_195event and clk_195=1 )then
if q_13=12 then q_13=0;clk_13=1; --此处产生13HZ频率的信号
else q_13=q_13+1;clk_13=0;
end if;
if q_15=14 then q_15=0;clk_15=1; --此处产生15HZ频率的信号
else q_15=q_15+1;clk_15=0;
end if;
if q_1=194 then q_1=0;clk_1=1; --此处产生1HZ频率的信号
else q_1=q_1+1;clk_1=0;
end if;
end if;
end process;
end rt1;
分频模块的
您可能关注的文档
- Bosch数字监控系统方案汇.doc
- Broadview_IT运维管理解决方案汇.ppt
- AVAYA_IP_Office产品介绍汇.doc
- BORA轿车上市推广计划汇.ppt
- AZY2008机房动力环境集中监控系统组态软件平台操作说明书汇.doc
- BR-MLA-6B小电流系统接地选线消谐装置汇.doc
- Broadview_BCC业务监控系统解决方案汇.ppt
- BSC操作与维护汇.doc
- BSC操作与维护汇.ppt
- BSC操作维护手册(基站方向)汇.doc
- 中考语文复习专题二整本书阅读课件.ppt
- 中考语文复习积累与运用课件.ppt
- 2025年初中学业水平考试模拟试题(二)课件.ppt
- 四川省2015届理科综合试题48套第12套.pdf
- 【课件】战争与和平—美术作品反映战争+课件-2024-2025学年高中美术湘美版(2019)美术鉴赏.pptx
- 【课件】青春牢筑国家安全防线 课件 2024-2025学年高中树立总体国家安全观主题班会.pptx
- 【课件】原始人的创造+课件高中美术湘美版(2019)美术鉴赏.pptx
- 上海证券-美容护理行业周报:流量加快去中心化,强运营头部品牌影响较小 -2024-.pdf
- T_CSEIA 1005—2023_能源工业互联网平台数据治理要求.pdf
- T_CDSA 504.16-2023_急流救援技术培训与考核要求.pdf
文档评论(0)