网站大量收购独家精品文档,联系QQ:2885784924

EDA技术课程设计报告-数字秒表设计汇.doc

  1. 1、本文档共26页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA技术课程设计报告-数字秒表设计汇

石家庄经济学院信息工程学院 电子信息工程专业 EDA技术课程设计报告 题目: 数 字 秒 表 姓 名 学 号 班 级 指导教师 2011年 1 月 14 日 课程设计任务书 班级 4081090102 姓名 陈 魏 学号 408109060127 课程设计题目 数字秒表 课程设计起止日期 2010年12月27日 至 2011年1月14日 实习地点 实验楼308 课程设计内容与要求 设计一个以0.01s为基准计时信号的实用数字式秒表 要求:1、及格:计时显示范围0~59min59.99s; 2、中:具有清零、启动计时、暂停计时及继续计时功能,操作按键(开关)不超过两个; 3、良:有倒计时功能; 4、优:具有记录最近10次计时操作结果的功能。 指导教师 董建彬 2010 年 12月 27 日 课程设计报告 一、设计原理与技术方法: 包括:电路工作原理分析与原理图、元器件选择与参数计算、电路调试方法与结果说明; 软件设计说明书与流程图、软件源程序代码、软件调试方法与运行结果说明。 图1 数字秒表原理图 时基分频:主要是给计时电路一个精确的脉冲信号 计时电路:执行计时功能,计时方法为对标准时钟脉冲计数。计时范围是0秒---59分59.99秒,那么计时采用2个六进制计数器和4个十进制计数器构成,其中毫秒位、十毫秒位、秒位和分位采用十进制计数器,十秒位和十分位采用六进制计数器。 控制电路:主要执行清零、启动、暂停和倒计时功能,分别由开关或按钮控制。 显示电路:计时显示电路的作用是将计时值在LED七段数码管上显示出来。计时电路值经过BCD七段译码后驱动LED数码管。显示则采用扫描显示,每次只驱动一位数码管,各位数据轮流驱动对应的数码管进行显示。 流程图: 注:此表可加附页 及格:程序下载后计时从0开始到59分59.99s 图3 数字秒表(及格)整体组装设计原理图 CB程序(时基分频): library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cb is port(clk:in std_logic; clock:out std_logic); end cb; architecture art of cb is signal count:integer range 0 to 70000; signal clk_data:std_logic; begin process(clk) ----时钟进程 begin if clkevent and clk=1 then ----上升沿时给脉冲 if count=70000 then ----当脉冲计到70000时返回0 count=0; clk_data=not clk_data; ----此时时钟信号变化 else count=count+1; ----当脉冲没到70000时脉冲加1 end if; end if; clock=clk_data; end process; end art; COUNT10程序(十进制): Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Entity count10 is Port(clk,en:in std_logic; Q:out std_logic_vector(3 d

文档评论(0)

liwenhua11 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档