网站大量收购独家精品文档,联系QQ:2885784924

综合课程设计答辩——乒乓球游戏设计.ppt

  1. 1、本文档共17页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
综合课程设计答辩——乒乓球游戏设计

综合课程设计答辩 1实现的功能:模拟路口交通灯的红黄绿的变化过程,用三个led灯显示,并在数码管上动态显示,红的30s,黄的5s,绿的30s. 2核心模块: a.分频模块:将40M的系统时钟分频以得到1hz的时钟; b.计数模块:一个是30s的计数,另一个是5s的计数模块; c.动态扫描模块:以10000为一个分界点(用的是系统时钟),用两个数码管动态显示. 实验一:交通灯的控制 pulse: process(sysclk) --pulse 1s variable count:integer range 0 to begin if clkevent and clk=1 then count:=count+1; if countthen clock=1; elsif countthen clock=0;count:=0; end if; end if; end process pulse; 分频的process: 实验二:电子秒表 1实现功能:完成一个数字时钟的设计,数字时钟具有时,分,秒的功能,使用8个数码管,具有复位功能。 2核心模块: a.分频模块,与交通灯中类似,获得1hz的时钟。 b.计数模块,有10s,6s和4s的计数模块。 c.动态扫描模块,仍然以10000为一次扫描,动态的显示8个数码管。 10s的计数模块: architecture art of cnt10 is signal cqi:std_logic_vector(3 downto 0); begin change:process(clk,clr) begin if clr=1 then cqi=0000; elsif clkevent and clk=1 then if cqi=1001 then cqi=0000; else cqi=cqi+1; end if; end if; end process change; outshow:process(cqi) begin if cqi=0000 then co=1; else co=0; end if; end process outshow; cq=cqi; end architecture art; scan:process(clk) variable count :integer range 0 to 80000; begin if clkevent and clk=1 then count:=count+1; if count=10000 then en outshow=num1; elsif count=20000 then en outshow=num2; elsif count=30000 then en outshow=num3; elsif count=40000 then en outshow=num4; elsif count=50000 then en outshow=num5; elsif count=60000 then en outshow=num6; elsif count=70000 then en outshow=num7; elsif count=80000 then en outshow=num8; count:= 0; end if; end if; end process scan; 动态扫描模块: 1实现的功能:模拟打乒乓球的一个过程,比如发球,正确接球,错误接球对方得分,以及接不到球对方得分。用6个led灯的依次闪烁来表现球的方向,用两个开关按键作为乒乓球拍,分别用两个数码管来显示双方的得分。如果一方接球失败,蜂鸣器会响一声,并且对方得一分。 实验三:乒乓球游戏

文档评论(0)

yaocen + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档