武汉理工大学硬件描述语言与数字系统课程的设计说明书.docxVIP

武汉理工大学硬件描述语言与数字系统课程的设计说明书.docx

  1. 1、本文档共24页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
武汉理工大学硬件描述语言与数字系统课程的设计说明书

学 号:0121309341112课程设计课程名称硬件描述语言与数字系统题目设计一个256×8的ROM学院信息工程学院专业电子科学与技术班级电子1303班姓名指导教师韩屏2016年1月20日课程设计任务书学生姓名:专业班级:电子1303班指导教师:韩屏工作单位:信息工程学院题目: 设计一个 256×8 的ROM初始条件:可用条件:1、Quartus II 14.0 基础版2、FPGA实验箱要求完成的主要任务:1、在设计ROM时,根据ROM的大小,可以采用不同的方法进行设计,比如4×8、8×8或16×8的ROM可以采用数组描述或WHEN-ELSE。2、然而数组描述ROM在面积上是最有效的,在用数组描述时,常把数组常量描述的ROM放在一个程序包中,这种方法可以提供ROM的重用,在程序包中应当用常量定义ROM的大小。3、用WHEN-ELSE描述一个ROM,它却是最直观的,它是类似查表的方式来设计的,如下面的例程就是一个用WHEN-ELSE设计的16×8的ROM。4、对于MAXPLUS II这个软件,对于用数组描述的ROM在编译过程中会出错,有可能MAXPLUS II在语法支持上不太全面,但可以用其他的VHDL语言仿真综合工具进行仿真或综合,如ACTIVE VHDL、MODELSIM、LEONARDO等。时间安排:1、2016 年 1 月 15日集中,作课设具体实施计划与课程设计报告格式的要求说明。2、2016 年 1 月 15日,查阅相关资料,学习Quartus软件的程序编写方法。3、2016 年 1 月 16 日 至 2016 年 1 月 17日,方案选择和程序设计。4、2016 年 1 月 18 日 至 2016 年 1 月 19 日,代码调试和设计说明书撰写。5、2016 年 1 月 20 日上交课程设计成果及报告,同时进行答辩。指导教师签名:年月日系主任(或责任教师)签名:年月日摘要本文介绍了一种采用LPM宏模块设计的存储器ROM, LPM是Altera提供的可参数化宏功能模块和LPM函数均基于AlteraFPGA的结构优化设计。宏功能模块的调用使得基于EDA的技术的电子设计的效率和系统性能有了很大的提高。只读存储器ROM是一种半导体内存,其特性是一旦储存数据就无法更改或删除。本设计通过使用VHDL编程语言,采用数组的的描述方法设计出一个256×8的ROM。经过Quartus II软件仿真,完成了设计要求。关键词:ROM; LPM;Quartus II; VHDLAbstractThis paper presents a modular design using LPM macro memory ROM, LPM is Altera provides parameterizablemegafunctions and LPM functions are Altera FPGA-based structural optimization. Call megafunctions make based electronic design EDA technology efficiency and system performance has been greatly improved. ROM read-only memory is a semiconductor memory, its characteristics are once stored data can not be changed or deleted. This design by using VHDL programming language, using an array of methods designed to describe a 256 × 8 of ROM. After Quartus II software simulation, the completion of the design requirements.Key Words: ROM; LPM;Quartus II; VHDL第1章 绪论21世纪将以科学技术的高速发展为其特征,现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术(Electronic Design Automation, EDA)。EDA技术依赖功能强大的计算机,在EDA工具软件平台上VHDL作为一种规范语言和建模语言,具有与具体硬件电路无光和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力。按照设计目的,VHDL程序可以划分为面相仿真和面向综合两类,而面向综合的VHDL程序分别面向FPGA和ASIC开发两个领域。EDA技术的发展自20世纪70年代以来,经历了PAL、GAL、

您可能关注的文档

文档评论(0)

317960162 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档