电子钟课程的设计的报告数字钟的设计.docVIP

电子钟课程的设计的报告数字钟的设计.doc

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
电子钟课程的设计的报告数字钟的设计

题目:数字钟设计 一、实验目的 学习并掌握数字钟的原理、设计方法。 二、实验内容 计数时钟由模60秒计数器、模60分计数器、模24小时计数器、报时模块、分、时校定模块及输出显示模块构成。可以采用同步计数器或异步计数器设计方法。 三、实验要求 1. 计时范围为0小时0分0秒至23小时59分59秒 2. 采用6个8段数码管分别显示小时十位,小时个位、分钟十位、分钟个位、秒十位、秒个位。 3. 整点报时,蜂鸣器响5声,每秒1声。 4. 校时功能,能够单独校分、校时。用按键控制。 5. 具有清零、启动/停止计数的功能。用按键控制。 四、实验原理 数字钟的基本原理是采用时钟源提供的频率作为秒模块的时钟进行计数,当秒模块计数达到59秒时为分模块提供时钟,该时钟通过状态选择模块送到分模块,同理,分模块向小时模块提供时钟时也是如此。整点报时模块是利用分钟向小时的进位时钟脉冲作为触发源,利用秒的个位计时以及实验板提供的时钟源频率达到报时五秒的目的。译码显示模块则通过8421BCD码与数码管各段的关系进行转化,由于实验板不支持动态扫描所以需在秒,分,时的个位与十位都添加译码显示模块。 原理框图如下: 五、模块设计 秒计数模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity second is port(clk,rst:in std_logic; enmin:out std_logic; shiwei:out std_logic_vector(3 downto 0); gewei:out std_logic_vector(3 downto 0)); end entity second; architecture rtl of second is signal a,b:std_logic_vector(3 downto 0); signal c:std_logic_vector(7 downto 0); begin c=ba; process(clk,rst,c) begin if(rst=1)then a=0000; b=0000; enmin=0; elsif(clkevent and clk=1)then a=a+1; if(a=1001)then a=0000; b=b+1; if(b=0101)then b=0000; end if; end if; end if; case c is whenenmin=1; when others=enmin=null; end case; end process; gewei=a; shiwei=b; end architecture rtl; 其模块仿真图为: 分计数模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity minute is port(clk,rst:in std_logic; enhour:out std_logic; mshiwei:out std_logic_vector(3 downto 0); mgewei:out std_logic_vector(3 downto 0)); end entity minute; architecture rtl of minute is signal m,n:std_logic_vector(3 downto 0); signal c:std_logic_vector(7 downto 0); begin c=nm; process(clk,rst,c) begin if(rst=

您可能关注的文档

文档评论(0)

317960162 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档