eda设计1.doc

  1. 1、本文档共4页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
eda设计1

设计一 七段显示译码器的设计 1.设计目的 (1)学习ispEXPERT软件的基本使用方法。 (2)学习1016实验板的基本使用方法。 (3)熟悉ispDesignEXPERT SystemVHDL文本输入设计的流程。 (4)学习7段数码显示译码器的设计方法、输入步骤。(5)学习进程PROCESS和CASE语句的设计方法。 ()EDA设计的仿真和硬件测试方法。设计一个七段显示译码器,A为二进制BCD码输入,LED7S为七段显示输出。要求:1完成显示译码器的VHDL描述。 2在ispDesignEXPERT System上对显示译码器进行编辑、编译、综合、适配、仿真,给出其所有信号的时序仿真波形。3将输入引脚连接到拨码开关,输出连接到发光二极管,下载后在实验板上验证其功能,记录实验结果。 (1)开发软件:Lattice ispEXPERT。 (2)实验设备:1016实验板。 (3)拟用芯片:ispLSI1016E )LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY yimaqi7 IS PORT ( A : IN STD_LOGIC_VECTOR(3 DOWNTO 0) ; LED7S : OUT STD_LOGIC_VECTOR(0 TO 6)) ; END ; ARCHITECTURE art OF yimaqi7 IS BEGIN PROCESS( A ) BEGIN CASE A IS WHEN 0000 = LED7S = 1000000 ; WHEN 0001 = LED7S = 1111001 ; WHEN 0010 = LED7S = 0100100 ; WHEN 0011 = LED7S = 0110000 ; WHEN 0100 = LED7S = 0011001 ; WHEN 0101 = LED7S = 0010010 ; WHEN 0110 = LED7S = 0000010 ; WHEN 0111 = LED7S = 1111000 ; WHEN 1000 = LED7S = 0000000 ; WHEN 1001 = LED7S = 0010000 ; WHEN 1010 = LED7S = 0001000 ; WHEN 1011 = LED7S = 0000011 ; WHEN 1100 = LED7S = 1000110 ; WHEN 1101 = LED7S = 0100001 ; WHEN 1110 = LED7S = 0000110 ; WHEN 1111 = LED7S = 0001110 ; WHEN OTHERS = NULL ; END CASE ; END PROCESS ; END; 2)波形仿真文件 用于波形仿真的文件如下: )引脚锁定 5.设计实验结果及总结 1)系统仿真情况 系统功能仿真结果与时序仿真结果分别如下图所示。 时序仿真结果 2)硬件验证情况编译设计,形成JEDEC文件 3)实验过程中出现的问题及解决办法 实验板上的LED是共阳接法,编写程序时以电平点亮LED的标准编写,下载后所得LED显示得到正确显示。 经过这次课程设计我体会到面对问题细心是关键,设计让我们更深刻理解知识,培养自己掌握运用知识解决问题的能力,同时应该大胆去设想、大胆的去尝试,多做一些设计更有助于我们掌握理解知识。

文档评论(0)

jgx3536 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:6111134150000003

1亿VIP精品文档

相关文档