七人表决器设计.doc

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
七人表决器设计

EDA课程设计报告书 课题名称 七人表决器设计 姓 名 学 号 院、系、部 电气系 专 业 电子信息工程 指导教师 2010年 7 月9日 一、设计任务及要求: 本设计就是利用EDA/SOPC-II+实验箱中的拨挡开关模块和LED模块来实现一个简单的七人表决器的功能。拨挡开关模块中的K1~K7表示七个人,当拨挡开关输入为‘1’时,表示对应的人投同意票,否则当拨挡开关输入为‘0’时,表示对应的人投反对票;LED模块中D1_1表示七人表决的结果,当同意数>3人的时候,D1_1点亮表示一致同意,同时使用试验台的大板数码管显示同意的人数,否则D1_1熄灭表示一致反对。 指导教师签名: 2010年 月 日 二、指导教师评语: 指导教师签名: 2010年 月 日 三、成绩 指导教师签名: 2010年 月 日 七人表决器设计 一目的 Quartus II软件的使用。 2.熟悉七人表决器的工作原理 3.熟悉EDA开发的基本流程。 二要求 三电路及连线 管脚分配: 实验符号 对应附录符号 管脚 K1 K1 PIN_74 K2 K2 PIN_73 K3 K3 PIN_38 K4 K4 PIN_39 K5 K5 PIN_44 K6 K6 PIN_45 K7 K7 PIN_46 A A 62 B B 61 C C 60 D D 59 E E 58 F F 57 G G 56 m_Result D1_1 PIN_215 四说明 五 六、程序设计如下: library ieee; use ieee.std_logic_1164.all; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity biao is port ( reset : in std_logic ; xin: in std_logic_vector ( 6 downto 0 ); sel: out std_logic; xout : out std_logic_vector ( 6 downto 0 ) ); end entity ; architecture bev of biao is begin process ( xin ) variable j: integer :=0; begin j:=0; for i in 0 to 6 loop if xin(i)=1 then j:=j+1; end if; end loop; case j is when 0 = xout = 0111111 ; when 1 = xout = 0000110 ; when 2 = xout = 1011011 ; when 3 = xout= 1001111 ; when 4 = xout = 1100110 ; when 5 = xout= 1101101 ; when 6 = xout = 1111101 ; when 7 = xout = 0000111 ; when others = null; end case; if j3 then sel=1; else sel=0; end if; end process; end architecture bev; 七、设计总结: 在设计的过程中,我熟悉了Quartus II的基本使用方法,熟悉了EDA程序的运行环境,对各个运行器件及操作按钮有了新的认识和了解,熟悉了VHDL语言,能够利用VHDL语言实现简单基本的程序,同时也了解了试验台上大板和小板的数码管的极性。在对按键K1-K7进行统计时,采用了按位统计的方法,利用简单的FOR循环。通过这次EDA的课程设计,我进一步的了解了EDA的编程技术及其应用。EDA作为现在电子行业的热门技术之一,有着较强的专业性和实用性 ,而此次的EDA小学期给我们接触EDA技术的机会,同时为以后的就业提供了很好的演练平台。 八、参考文献: [1] 延明,张亦化.数字电路EDA技术入门.北京:邮电大学出版社,2006 [2] 徐惠民,安德宁.数字逻辑设计与VHDL描述.北京:机械工程出版社,2002 [3] 潘松 ,

文档评论(0)

cgtk187 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档