VHDL 实验一组合逻辑电路的设计.doc

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VHDL 实验一组合逻辑电路的设计

实验一 组合逻辑电路的设计 实验目的: 1.熟悉QuartusⅡ的VHDL文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。 2.加深FPGA\CPLD设计的过程,并比较原理图输入和文本输入的优劣。 实验的硬件要求: 1.GW48EDA/SOPC+PK2实验系统。 实验内容: 1.首先利用QuartusⅡ完成2选1多路选择器(如图S1-1)的文本编辑输入(mux21a.vhd)和仿真测试等步骤。最后在实验系统上进行硬件测试,验证本项设计的功能。 图S1-1 2.将此多路选择器看成是一个元件mux21a,利用原理图输出法完成图s1-2,并将此文件放在同一目录中。 图s1-2 编译、综合、仿真本例程,并对其仿真波形作出分析说明。最后在实验系统上进行硬件测试,验证本项设计的功能。 3.以1位二进制全加器为基本元件,用例化语句写出8位并行二进制全加器的顶层文件,编译、综合、仿真本例程,并对其仿真波形作出分析说明。最后在实验系统上进行硬件测试,验证本项设计的功能。 4.七段数码管译码器(Decoder) 译码器(Decoder)的输入为位二进制代码,输出为个表征代码的状态信号。下面为一个译码器的VHDL源代码模型:LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY display IS PORT(A:IN STD_LOGIC_VECTOR(3 DOWNTO 0); LED7S:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END; ARCHITECTURE ONE OF display IS BEGIN PROCESS(A) BEGIN CASE A IS WHEN 0000=LED7S=0111111;--X3F-0 WHEN 0001=LED7S=0000110;--X06-1 WHEN 0010=LED7S=1011011;--X5B-2 WHEN 0011=LED7S=1001111;--X4F-3 WHEN 0100=LED7S=1100110;--X66-4 WHEN 0101=LED7S=1101101;--X6D-5 WHEN 0110=LED7S=1111101;--X7D-6 WHEN 0111=LED7S=0000111;--X07-7 WHEN 1000=LED7S=1111111;--X7F-8 WHEN 1001=LED7S=1101111;--X6F-9 WHEN 1010=LED7S=1110111;--X77-10 WHEN 1011=LED7S=1111100;--X7C-11 WHEN 1100=LED7S=0111001;--X39-12 WHEN 1101=LED7S=1011110;--X5E-13 WHEN 1110=LED7S=1111001;--X79-14 WHEN 1111=LED7S=1110001;--X71-15 WHEN OTHERS=NULL; END CASE; END PROCESS; END; 编译、综合、仿真本例程,并对其仿真波形作出分析说明。最后在实验系统上进行硬件测试,验证本项设计的功能。 实验连线: 1.恰当选择电路结构,完成上述实验连线。 实验步骤与实验调试 (一) 2选1多路选择器 1. 程序设计 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MUX21a IS PORT(a,b,s:IN STD_LOGIC; y:OUT STD_LOGIC); END ENTITY MUX21a; ARCHITECTURE one OF MUX21a IS BEGIN PROCESS(a,b,s) BEGIN IF S=0 THEN y=a; ELSE y=b; END IF; END PROCESS; END one; A. 这是一个2选1多路选择器,a和b分别为两个数字输入端的端口名,s为通道选择控制信号输入端的端口名,y为输出端的端口名。 B . 编译通过,零错误,一个警告。 C .波形仿真输入图: D .波形仿真结果: E .时序分析: 由上面两图可以得知:当s=0时,y口输出a,当s=1时,y口输出b. F .下载和硬件测试: 程序下载完成后,直接IO口控制输入,通过短路帽选择clock0接25

文档评论(0)

qwd513620855 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档