ea课程设计 音乐播放器 乐曲演奏电路 世上只有妈妈好.doc

ea课程设计 音乐播放器 乐曲演奏电路 世上只有妈妈好.doc

  1. 1、本文档共16页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
ea课程设计 音乐播放器 乐曲演奏电路 世上只有妈妈好

电子信息科学与技术 专业课程设计任务书 学生姓名 专业班级 学号 题 目 乐曲演奏电路 课题性质 A 课题来源 D 指导教师 同组姓名 无 主要内容 根据设计,乐曲演奏电路应满足以下基本要求: 用纯硬件的方法设计音乐演奏电路。 采用模块化设计的方法设计乐曲演奏电路 能反复演奏程序中的“世上只有妈妈好”乐曲片段。 当改变时钟频率时乐曲播放的快慢节奏会发生变化。 数码管能显示当前的简谱码。 任务要求 ①根据设计题目要求编写相应程序代码 ②对编写的VHDL程序代码进行编译和仿真 ③条件允许,完成硬件验证(可选) ④总结设计内容,完成课程设计说明书 参考文献 [1] 焦素敏 EDA课程设计指导书 河南工业大学 2008 [2] 曹昕燕 EDA技术实验与课程设计 北京清华大学出版社 2004 [3] 黄智伟 FPGA系统设计与实践 电子工业出版社 2005 [4] 焦素敏. EDA技术基础 清华大学出版社 2005 [5] 刘昌华 数字逻辑EDA设计与实践 国防工业出版社 2005 审查意见 指导教师签字: 教研室主任签字: 2012年 02月 20日 说明:本表由指导教师填写,由教研室主任审核后下达给选题学生,装订在设计(论文)首页 EDA课程设计报告 1 设计任务及要求 用VHDL语言设计音乐的节拍与音符产生电路;用VHDL语言设计分频系数、音符显示数据产生电路;用VHDL语言设计可控分频器电路;理解简易音乐播放器总体设计方案。 掌握基本的VHDL语言,理解简易音乐播放器总体设计方案。掌握用VHDL语言设计音乐的节拍与音符产生电路,掌握用VHDL语言设计分频系数、音符显示数据产生电路,掌握用VHDL语言设计可控分频器电路。设计结果及原理图与原程序、电路仿真图。能在实训系统上播放悦耳动听的音乐。其基本要求及内容如下: 用纯硬件的方法设计音乐演奏电路。 采用模块化设计的方法设计乐曲演奏电路。 能反复演奏程序中的“世上只有妈妈好”乐曲片段。 当改变时钟频率时乐曲播放的快慢节奏会发生变化。 数码管能显示当前的简谱码。 2设计原理及总体框图 产生音乐的两个因素是音乐频率和音乐的持续时间,以纯硬件完成演奏电路比利用微处理器来实现乐曲演奏要复杂的多,如果不借助于功能强大的EDA工具和硬件描述语言,凭借传统的数字逻辑技术,即使最简单的演奏电路也难以实现。根据设计要求,乐曲硬件演奏电路系统主要由数控分频器和乐曲存储模块组成。数控分频器对FPGA的基准频率进行分频,得到与各个音阶对应的频率输出。乐曲存储模块产生节拍控制和音阶选择信号,即在此模块中可存放一个乐曲曲谱真值表,由一个计数器来控制此真值表的输出,而由计数器的计数时钟信号作为乐曲节拍控制信号。其中,乐曲的每个音符的频率值,即音调,频率的高低决定了音调的高低。音乐的十二平均率规定:每两个八度音(如简谱中的中音1与高音1)之间的频率相差一倍。在两个八度音之间,又可以分为十二个半音,每两个半音的频率比为21/12 ≈1.12246 。音名A(简谱中的低音6)的频率为440Hz,音名B到C之间,E到F之间为半音,其余为全音。持续的时间为音长,音符的持续时间须根据乐曲的速度及每个音符的节拍数来确定。本实验演奏的世上只有妈妈好片断,最短的音符为四分音符,如果全音符的持续时间设为1s,则四分音符的持续时间为0.25s。反馈预置计数器对基准频率12MHz进行分频,产生分频后的输出时钟信号。再经过2分频器,成为方波信号,以驱动扬声器发声。 音名显示电路显示乐曲演奏时对应的音符。乐谱产生电路用来根据高音、中音和低音的值决定分频计数器的预置数的值。 反馈预置数2分频器 反馈预置数 2分频器 乐谱产生电路 音符显示 12MHZ 4HZ 扬声器 数码管 系统的顶层设计如下所示 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY SONGER IS PORT(CLK12MHZ : IN STD_LOGIC; CLK8HZ: IN STD_LOGIC; CODE1 : OUT HIGH1: OUT STD_LOGIC; SPKOUT: OUT STD_LOGIC ); END; ARCHITECTURE ONE OF SONGER IS COMPONENT NOTETABS PORT(CLK:IN STD_LOGIC; TONEINDEX: OUT END COMPONENT; COMPONENT TONETABA PORT(I

文档评论(0)

seunk + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档