- 1、本文档共27页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
每次传输8位; 1)12扩展为16位源程序: library ieee; use ieee
雷达中心控制器的主要任务 1.决定雷达在一定时间内必须执行的工作方式 2.对不同雷达单元发准备指令以完成计划任务 3.完成性能监视和故障检测 4.作为操作人员与雷达系统各单元的人机接口 use ieee.std_logic_arith.all; use ieee.std_logic_signed.all; use work.coeffs.all; entity fir is port(clk,reset:in std_logic; sample:in signed(7 downto 0); result:out signed(16 downto 0)); end fir; architecture beh of fir is begin main:process(clk,reset) type shift_arr is array (4 downto 0) of signed (7 downto 0); variable tmp,old:signed (7 downto 0); variable pro:signed (16 downto 0); variable acc: signed (16 downto 0); variable shift:shift_arr; begin if reset=1 then result=(others=0); for i in 0 to 3 loop shift(i):=(others=0); end loop; elsif clkevent and clk=1 then tmp:=sample; pro:=(tmp+shift(3))*coeffs(0); acc:=pro; for i in 0 to 0 loop old:= shift(i)+ shift(2-i); pro:=old* coeffs(i+1); acc:=acc+pro; end loop; acc:=acc+shift(1)*coeffs(2); for i in 3downto 0 loop shift(i+1):=shift(i); end loop; shift(0):=tmp; result=acc; end if ; end process main; end beh; 这种编程的方法语句就是要改,语句太多,还要花点时间去进行改动 由于它是8位进的,要变成12位进,若用MATLAB容易实现,但是对于具体的原理还是不太懂 1)12扩展为16位源程序: library ieee; use ieee.std_logic_1164.all; entity bian12_16 is port( result:in std_logic_vector(11 downto 0); dataa:out std_logic_vector(15 downto 0)); end bian12_16; architecture kuozhan of bian12_16 is begin dataa=result(11)result(11)result(11)result(11)result; end kuozhan; 仿真图: when 00=d0=1;d1=0;d2=0;d3=0; when 01=d1=1;d0=0;d2=0;d3=0; when 10=d2=1;d0=0;d1=0;d3=0; when 11=d3=1;d0=0;d1=0;d2=0; when others=null; end case; end if; end process p_b; end architecture bhv; 雷达单元控制仿真图: * * 基于FPGA的雷达中心控制器的研究和实现 研究目的: 现代雷达的工作周期越来越短,周期内要处理的数据流量越来越大,对于处理时间己经十分紧张的数据处理计算机来说,要同时实现数据的快速处理和雷达的实时控制非常困难。因此,有必要在数据处理计算机和雷达各分系统之间设计一个可实现雷达实时控制的雷达中心控制器。设计完成后,经综合和仿真验证后,在FPGA中实现。 主要模块要实现的功能: I、Q差拍信号 I、Q路:雷达发送探测的波和返回的波,再进行差拍处理,即本振信号和回波信号进行差拍处理,并将差拍信号输出分I、Q两路送到中心控制器,雷达发出的信号是30MHz中频的信号. 中心控制器还将对相位检波/差拍处理分系统输出的正交的I、Q两路差拍模拟信号进行采样,并对采样频率和采样时间进行控制。采样时间长度ts=512us. 其中要用的芯片是AD9059 ,最终出来的是两路8位的数字量. AD转换器及其功能
您可能关注的文档
- 柴油机在船舶上的布置.doc
- 标准操作程序-yeec维修网.PDF
- 标准斜齿圆柱齿轮传动的强度计算标准锥齿轮传动的强度.ppt
- 标准模型下可证安全的基于身份的高效签名方案-计算机学报.PDF
- 标准服务器端控件RadioButton和RadioButtonList控件单选.ppt
- 标题与正文的关系.PPT
- 标准模型下基于身份代理盲签名方案-计算机工程与应用.PDF
- 校内外综合评价平台解决方案.PDF
- 树立正确的世界观人生观和价值观其次-兰州交通大学.PPT
- 校园卡服务说明.doc
- 中国国家标准 GB/T 12357.1-2024通信用多模光纤 第1部分:A1类多模光纤特性.pdf
- 中国国家标准 GB/T 18851.2-2024无损检测 渗透检测 第2部分:渗透材料的检验.pdf
- 《GB/T 18851.2-2024无损检测 渗透检测 第2部分:渗透材料的检验》.pdf
- GB/T 18851.2-2024无损检测 渗透检测 第2部分:渗透材料的检验.pdf
- GB/T 44927-2024知识管理体系 要求.pdf
- 中国国家标准 GB/T 44927-2024知识管理体系 要求.pdf
- 《GB/T 44927-2024知识管理体系 要求》.pdf
- GB/T 44937.4-2024集成电路 电磁发射测量 第4部分:传导发射测量1 Ω/150 Ω直接耦合法.pdf
- 《GB/T 44937.4-2024集成电路 电磁发射测量 第4部分:传导发射测量1 Ω/150 Ω直接耦合法》.pdf
- 中国国家标准 GB/T 44937.4-2024集成电路 电磁发射测量 第4部分:传导发射测量1 Ω/150 Ω直接耦合法.pdf
文档评论(0)