基于VHDL的混沌及超混沌系统的FPGA实现-控制理论与控制工程专业论文.docxVIP

基于VHDL的混沌及超混沌系统的FPGA实现-控制理论与控制工程专业论文.docx

  1. 1、本文档共146页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
基于VHDL的混沌及超混沌系统的FPGA实现-控制理论与控制工程专业论文

涉及的研究工作做出贡献的其他个人和集体,均已在文中以明确方式标明。本 涉及的研究工作做出贡献的其他个人和集体,均已在文中以明确方式标明。本 学位论文原创性声明的法律责任由本人承担。 学位论文作者签名: 粱国庆 2011年5月27日 非公开学位论文标注说明 (本页表中填写内容须打印) 根据南开大学有关规定,非公开学位论文须经指导教师同意、作者本人申 请和相关部门批准方能标注。未经批准的均为公开学位论文,公开学位论文本 说明为空白。 论文题目 申请密级 口限制(≤2年) 口秘密(≤10年) 口机密(≤20年) 必威体育官网网址期限 20 年 月 日至20 年 月 日 审批表编号 批准日期 20 年 月 日 南开大学学位评定委员会办公室盖章(有效) 注:限制★2年(可少于2年):秘密★10年(可少于lO年):机密★20年(可少于20年) 摘要摘要 摘要 摘要 混沌信号是一种非周期的、类随机并且有界的信号,其非周期性连续宽带 频谱具有类似噪声的特性,使它们具有天然的隐蔽性。此外混沌信号对初始值 的高度敏感性,使得混沌信号具有长期不可预测性和抗截获能力,所以它可以 作为必威体育官网网址通讯系统中加密信号。 在国内外的许多文献中提出了采用模拟器件设计电路进而产生混沌序列信 号的方法。由于模拟器件容易受到环境温度和湿度以及器件老化的影响,采用 现在数字信号处理技术实现连续混沌系统可以保证混沌吸引子的稳定可靠。现 场可编程逻辑门电路(Field Programmable Gate Array,FPGA)以其丰富的硬件资 源和强大的可编程能力能够满足实现混沌系统的要求。 由于现代数字信号处理技术是针对离散化的数字系统的,该文中采用数值 分析中的欧拉法(Euler)和经典龙格库塔法(Runge—Kutta)分别对连续三维混沌系 统以及连续四维超混沌系统进行离散化处理。离散化的混沌系统和超混沌系统 再经过变量比例变换,从而能够便于其在FPGA中的实现。三维混沌系统与四 维超混沌系统的FPGA实现均采用基于状态机模式的超高速集成电路硬件描述 语言(Very High Speed Integration Circuit HDL,VHDL)设计,而不是由仿真软件直 接生成VHDL代码。通过运算获得的数字混沌序列输出到数模转换电路模块转 换为模拟信号,最后在示波器上显示混沌相平面吸引子图。 本文的主要创新点总结如下: (1)提出了一种基于现场可编程逻辑门阵歹0(FPGA)的混沌系统的实现。数字 混沌系统可以克服模拟器件的参数不一致以及容易受到外界干扰的缺点并能够 在一定精度范围内产生两个完全相同的混沌系统。 (2)数字混沌系统的产生是基于有限状态机的VHDL语言而不是由其他DSP 软件生成,这种方法可以从根本上解决时序控制问题,更利于混沌同步的实现。 (3)在离散化过程中,采用欧拉法和龙格库塔法两种方法。这两种方法代表 两种不同的精度和复杂度。两种离散化方法可以根据硬件资源和精度进行选择。 关键词:混沌系统,连续混沌系统,离散混沌系统,嵌入式系统,FPGA技术, VHDL语言,欧拉法,龙格库塔法 and and bounded signals mat are spectrum has 900d concealing values.It is suitable for the Most of the circuit tests of chaos phenomena are realized by analog circuits to generate analog chaotic signals. ne values of analogue circuit component are affected by time and temperature,therefore it is significant to use modern di西t,al signal processing method to generate stable chaotic signal.Field Programmable Gate Array(FPGA)is the first choice because of its flexibility and rich hardware resources. Modem di百tal signal processing technology aims at di孚tal system.Continuous chaotic system should be discretized.In this paper,three·dimensional chaotic system is discretized using Euler Method

您可能关注的文档

文档评论(0)

131****9843 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档