基于VerilogHDL语言的CAN控制器功能验证-微电子专业论文.docxVIP

基于VerilogHDL语言的CAN控制器功能验证-微电子专业论文.docx

  1. 1、本文档共83页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
基于VerilogHDL语言的CAN控制器功能验证-微电子专业论文

学校代码 分 类 号  10701 TN97 学 号 1211122860 密 级 公开 TN82 TN82 硕士学位论文 基于VerilogHDL语言的CAN控制器功能验证 作者姓名:翟曼琳 领 域:微电子 学位类别:工程硕士 学校导师姓名、职称:杨林安 教授 企业导师姓名、职称:杨毅民 高工 提交日期:2015/03 The Functional Verification of CAN Controller with Verilog HDL A thesis submitted to XIDIAN UNIVERSITY in partial fulfillment of the requirements for the degree of Master in software Engineering By Zhai Manlin Supervisor: Prof. Yang Linan March 2015 西安电子科技大学 毕业论文独创性(或创新性)声明 秉承学校严谨的学风和优良的科学道德,本人声明所呈交的论文是我个人在 导师指导下进行的研究工作及取得的研究成果。尽我所知,除了文中特别加以标 注和致谢中所罗列的内容以外,论文中不包含其他人已经发表或撰写过的研究成 果;也不包含为获得西安电子科技大学或其它教育机构的学位或证书而使用过的 材料。与我一同工作的同志对本研究所做的任何贡献均已在论文中做了明确的说 明并表示了谢意。 本论文与资料若有不实之处,本人承担一切的法律责任。 本人签名: 日 期: 西安电子科技大学 关于论文使用授权的说明 本人完全了解西安电子科技大学有关保留和使用毕业论文的规定,即:研究 生在校学习期间论文工作的知识产权单位属西安电子科技大学。学校有权保留送 交论文的复印件,允许查阅和借阅论文;学校可以公布论文的全部或部分内容, 可以允许采用影印、缩印或其它复制手段保存论文。同时本人保证,毕业后结合 毕业论文研究课题再撰写的文章一律署名单位为西安电子科技大学。 (必威体育官网网址的论文在解密后遵守此规定) 本论文属于必威体育官网网址,在 年解密后适用本授权书。 本人签名: 导师签名: 日 期: 日 期: 摘要 摘要 CAN 总线是一种具有可靠性高、实时性强、较低成本等诸多优势的现场总线, 主要工作在物理层和数据链路层。CAN 总线有着出色的报文滤波功能、错误检测 功能、远距离快速传输能力和便捷的应用层接口,被大量应用于汽车业、造船业、 航天航空事业等重要领域。通信装置之间由两条 CAN 来连接,CANH 用于驱动系 统中各个装置,CANL 则运用于车身各个系统装置之间。由于有了 CAN,控制系 统里面的不同节点之间的通信变得更为可靠,也增强了实时通信的效果。 CAN 总线控制器是 CAN 总线网络至关重要的一环,各节点通过控制器连接 到 CAN 总线上。CAN 控制器主要用于移动目标和一般工业环境中的控制区域网 络控制。常用的 CAN 控制器分为三类:集成 CAN 控制器的 8 位 8XC51 芯片、集 成 CAN 控制器的 32 位 ARM7 芯片、独立的 CAN 控制器。本文所验证的控制器 为第三种:独立的 CAN 控制器。该控制器的引脚与 PCA2C200 型 CAN 控制器兼 容、有扩展的接收缓冲器(64 字节,先进先出 FIFO)、支持 CAN2.0A 和 CAN2.0B 协议、支持 11 位和 29 位标识码、通信位速率可达 1Mb/s、可与不同的微处理器接 口、具有可编程的 CAN 输出驱动器配置。 本文介绍了 CAN 总线结构及 CAN 总线 V2.0 协议的内容,同时详细介绍了所 要验证的 CAN 控制器结构及其内部寄存器。同时,详细讲解了 CAN 功能模型如 何实现 CAN 控制器内部寄存器的读写操作,以及如何在复位模式对寄存器进行配 置。本文最突出的成果是,根据协议内容提取功能点,搭建验证平台,编写测试 用例,对 CAN 总线控制器的功能进行验证。对控制器能否正常收发信息,能否正 常产生发送、接收、数据溢出中断,能否正常产生数据溢出状态,能否正常产生 错误帧,能否在出现发送错误或者接收错误是时发送错误帧,能否正常捕获位错 误、填充错误、CRC 错误、格式错误,能否正确的发送主动错误标志和被动错误 标志,单、双滤波功能是否正常等一系列功能进行了验证。为后续的开发提供了 可靠地 CAN 控制器代码,降低了在整个设计被流片生产出来后发现问题的风险, 故而降低了潜在的工作量及设计成本。 本文只对 CAN 控制器功能进行了模块级验证,系统级验证及 FPGA 验证均未 涉及,日后可以进一步开展相关工作。 关键词:CAN 总线、CAN 总线 V2. 0 协议、CAN 控制器、Ve

您可能关注的文档

文档评论(0)

131****9843 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档