- 1、本文档共11页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
时序电路的VHDL设计时序电路的结构与特点p.552图7-37时序-Read.PDF
时序电路的VHDL 设计
时序电路的结构与特点
p.552 图7-37
时序电路的信号变化特点:
同步时序电路以时钟信号为驱动;电路内部信号的变化
(或输出信号的变化)只发生在特定的时钟边沿;其他时刻
输入信号的变化对电路不产生影响;
要点:执行条件的控制;
时钟边沿的检测;
执行条件的控制
采用进程描述可以有效控制执行条件,若进程以时钟信
号(clk )为唯一敏感信号,则只有当时钟信号变化时,进程
才执行;在其他时刻,任何输入信号的变化对电路(进程)
不起作用;
时钟边沿的检测
为了确保电路状态只在时钟的特定边沿(上升/下降)发
生变化,需要对时钟边沿进行检测;
VHDL 通常采用属性语句检测时钟边沿;
与时钟有关的属性语句:
clkevent boolean clk 有变化时为true ;
clklast_value clk 在变化之前的值;
例:上升沿的检测:
clkevent and clk=1 ;
clkevent and clklast_value=0 ;
在由上升沿导致的进程执行时,上述两个表达式的值都
为true ;利用这种表达式构成条件语句中的关系判断,就可以
保障电路状态在特定边沿变化;
注意:上述属性语句只能在子结构中应用(作为局部量);
例 p.643 表7-38
D 触发器的设计
方式1
library ieee;
use ieee.std_logic_1164.all;
entity kdff1 is
port ( d,clk: in std_logic; q: out std_logic);
end kdff1;
architecture beh of kdff1 is
begin
process --进程采用wait 语句,只在上升沿执行;
begin
wait until clkevent and clk=1 ;
q=d;
end process;
end beh;
方式2
architecture beh of kdff2 is
begin
process(clk) --进程采用敏感表,在上升/下降沿都执行;
begin
if clkevent and clk=1 then q=d; --赋值只在上升沿进行;
else null;
end if;
end process;
end beh;
例2 p.643 表7-37
带复位端的D 触发器的设计
library ieee;
use ieee.std_logic_1164.all;
entity kdff2 is
port ( d,clk,clr: in std_logic;
q,qn: out std_logic);
end kdff2;
architecture beh of kdff2 is
begin
process (clk,clr) --进程采用敏感表,执行的结果通过
begin --条件语句控制;
if clr=1 then q=0 ;qn=1; --复位信号优先于时钟;
elsif clkevent and clk=1 then q=d;
qn= not d;
end if;
end process;
end beh;
时序电路的基本单元设计
根据触发控制的不同,时序电路的基本单元主要有锁存
器(Latch )和触发器(flip-flop )两类;
Latch 锁存器:输出受时钟电平控制,在一段时间内可
受输入变化影响发生而变化;(电平控制)
flip-flop 触发器:输出只在时钟边沿时刻发生变化,输入
信号变化不能直接导致输出变化;(边沿控制)
目前数字集成电路中采用最多的时序单元器件为D latch
和D flip-flop;
例 p.678 表 8-4
D latch 的设计:在满足使能条件时将输入D 传递给输出Q ;
library ieee;
use ieee.std_logic
您可能关注的文档
最近下载
- 2023-2024学年八年级上学期期末生物试题.docx
- 领导班子2025年民主生活会对照检查材料例文(四个带头).docx VIP
- WD西部数据My Passport Ultra and My Passport Ultra for Mac Quick Install Guide移动硬盘安装指南English (US).pdf
- 工业园区污水BOT项目运营维护方案.doc
- 牛血清去蛋白注射液的美容作用研究.pptx
- 广数DAP03说明书.pdf VIP
- 《中国古代书法》课件——隶书.pptx VIP
- 《行书书法教程》课件.ppt VIP
- 小学数学二年级上册数学《东南西北》教学设计优秀教案.docx
- 笔奇意纵 气逸神飞--草书书写指导.ppt VIP
文档评论(0)