计算机组成原理课程设计报告求负数平方和cisc.docVIP

计算机组成原理课程设计报告求负数平方和cisc.doc

  1. 1、本文档共66页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
PAGE PAGE 66 计算机组成原理课程设计报告 题 目: 求负数平方和CISC模型 院 系: 计算机科学与工程学院 专 业: 计算机科学与技术 指导教师: 陈智勇 2014年3月30号 目 录 TOC \o 1-4 \h \z \u HYPERLINK file:///F:\\学习\\计组\\目录.doc \l _Toc353450637#_Toc353450637 1课程设计的题目与内容 PAGEREF _Toc353450637 \h 1 HYPERLINK file:///F:\\学习\\计组\\目录.doc \l _Toc353450640#_Toc353450640 2 课程设计完成的内容 1 HYPERLINK file:///F:\\学习\\计组\\目录.doc \l _Toc353450649#_Toc353450649 3 系统开发环境及VHDL语言介绍 1 HYPERLINK file:///F:\\学习\\计组\\目录.doc \l _Toc353450650#_Toc353450650 4 系统总体设计 2 HYPERLINK file:///F:\\学习\\计组\\目录.doc \l _Toc353450651#_Toc353450651 5 MAX PLUS II软件编译仿真测试和结果分析 9 HYPERLINK file:///F:\\学习\\计组\\目录.doc \l _Toc353450652#_Toc353450652 6 遇到的问题及解决办法 11 HYPERLINK file:///F:\\学习\\计组\\目录.doc \l _Toc353450653#_Toc353450653 7 心得体会 11 HYPERLINK file:///F:\\学习\\计组\\目录.doc \l _Toc353450653#_Toc353450653 8 参考文献 12 HYPERLINK file:///F:\\学习\\计组\\目录.doc \l _Toc353450653#_Toc353450653 9 附录 12 一:课程设计的题目及内容  1 题目  输入包含5个整数(有符号数)的数组M,输出所有负数的平方和。  2 要求  采用定长CPU周期、联合控制方式,并运行能完成一定功能的机器语言源程序进行验证,机器语言源程序功能如下: 五个有符号数从外部输入,一定要使用符号位(比如说SF),并且要使用负的时候转移(比如说JS)或不为负的时候转移(比如说JNS)指令; 采用三数据总线结构的运算器,采用RAM,先将输入数据依次存放在RAM的某一连续的存储区域内,再依次读出判断是否为负数,若为负数再求其平方和。 二:课程设计的要求  1.完成系统的总体设计,画出模型机数据通路框图; 2.设计微程序控制器(CISC模型计算机)的逻辑结构框图; 3.设计机器指令格式和指令系统; 4.设计时序产生器电路; 5.设计所有机器指令的微程序流程图; 6.设计操作控制器单元;   在CISC模型计算机中,设计的内容包括微指令格式(建议采用全水平型微指令)、微指令代码表(根据微程序流程图和微指令格式来设计)和微程序控制器硬件电路(包括地址转移逻辑电路、微地址寄存器、微命令寄存器和控制存储器等。具体电路根据微程序控制器的逻辑结构框图、微指令格式和微指令代码来设计)。 7.设计模型机的所有单元电路,并用VHDL语言(也可使用GDF文件图形描述文件)对模型机中的各个部件进行编程,并使之成为一个统一的整体,即形成顶层电路或顶层文件; 8.由给出的题目和设计的指令系统编写相应的汇编语言源程序; 9.根据设计的指令格式,将汇编语言源程序手工转换成机器语言源程序,并将其设计到模型机中的ROM中去; 10.使用EDA软件进行功能仿真,要保证其结果满足题目的要求;(其中要利用EDA软件提供的波形编辑器,选择合适的输入输出信号及中间信号进行调试。) 11.器件编程,并在EDA实验平台上进行操作演示 三:系统开发环境及VHDL语言介绍? 1 Max plus II Max+plusⅡ是Altera公司上一代的PLD开发软件,Max+plusⅡ界面友好,使用便捷,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。在Max+plusⅡ上可以完成设计输入

文档评论(0)

celkhn0303 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档