EDA技术与VHDL课程设计数字式竞赛抢答器.doc

EDA技术与VHDL课程设计数字式竞赛抢答器.doc

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
物理与电子信息系 课程设计报告 课程名称: EDA技术与VHDL课程设计 题 目: 数 字 式 竞 赛 抢 答 器 学生姓名: 侯信聪 郭湘 学 号: 系 部: 物理与电子信息工程系 专业年级: 11级电子信息工程(3)班 指导教师: 姚 毅 职 称: 助 教 湖南人文科技学院物理与电子信息系制 指导教师评语: 指导教师签名: 年 月 日 成绩评定 项 目 权重 成绩 侯信聪 郭湘 1、设计过程中出勤、学习态度等方面 0.2 2、课程设计质量与答辩 0.5 3、设计报告书写及图纸规范程度 0.3 总 成 绩 教研室审核意见: 教研室主任签字: 年 月 日 教学系审核意见: 主任签字: 年 月 日 摘要 数字式竞赛抢答器是为智力竞赛参赛者答题时进行抢答而设计的一种优先判决器电路,竞赛者可以分为若干组,抢答时各组对主持人提出的问题要在最短的时间内做出判断,并按下抢答按键回答问题。当第一个选手按下按键后,则在数码管上显示该组的号码,同时电路将其他各组按键封锁,使其不起作用。若抢答时间内无人抢答,蜂鸣器产生振动。回答完问题后,由主持人进行评分,重新开始下一轮抢答。本文为完成抢答器的逻辑功能,主要分为抢答锁定模块、计分显示模块、发声模块进行设计。本设计采用了VHDL语言在Quartus II 6.0环境进行仿真编译,通过分析仿真结果,然后在EDA/SOPC实验开发系统GW48-PK2进行硬件具体实现。竞赛抢答器在各种比赛上均有应用,所以对其的工作原理了解和设计是很有意义的。 关键词:抢答器 硬件描述语言(VHDL) QuartusII6.0 模块 PAGE \* MERGEFORMAT PAGE \* MERGEFORMAT 1 目录 第1章 抢答器2 1.1 抢答器简单介绍2 1.2 抢答器作用2 第2章 总体设计及思路3 2.1 设计指标和要求3 2.2设计思路与总体框图3 第3章 电路模块设计5 3.1 按键锁存模块5 3 .1.1程序编译5 3.2 计分显示模块7 3 .2.1程序编译7 3.3发声模块11 第4章 硬件测试及实现 12 4.1程序运作步骤12 4.2仿真12 4.3引脚锁定13 总结和致谢 14 参考文献15 PAGE \* MERGEFORMAT PAGE \* MERGEFORMAT 2 第1章 抢答器 1.1 抢答器简单介绍 竞赛、文体娱乐活动(抢答活动)中,能准确、公正、直观地判断出抢答者的机器。通过抢答者的指示灯显示、数码显示和警示显示等手段指示出第一抢答者。通过主持人进行加分减分操作以及下一轮比赛的开始。 1.2 抢答器作用 在电视和学校中我们会经常看到一些智力抢答的节目,如果要是让抢答者用举手等方法进行判

文档评论(0)

yurixiang1314 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档