CPU基本组成模型寄存器组成.ppt

  1. 1、本文档共54页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第三章 CPU原理 掌握: CPU基本组成模型(寄存器组成、数据通路结构),同步控制方式与常见时序信号,微命令(脉冲、电位),熟练掌握指令流程(能拟出给定指令的流程)。 理解: 进位链,ALU组成,补码加减,移位,浮点加减,无符号数一位乘、除,组合逻辑控制器(产生微命令方法、优缺点),微程序控制器(基本思想、优缺点)。 了解: 十进制运算,浮点乘、除法,微指令格式、编码方法、顺序控制方法。 3-1 运算器 运算器包含: ALU、用于运算的寄存器; 控制器包含:微操作信号发生器、时序系统、用于运控 制的寄存器。 系统总线 寄存器 控制器 运算器 (ALU) CPU 3-1 运算器 CPU的功能 数据加工(运算器) 对数据进行算术和逻辑运算处理; 指令控制(控制器) 指令的执行次序,严格按顺序; 操作控制(控制器) 由指令产生操作控制信号/微命令; 时间控制(控制器) 对各种操作实施时间上的控制/时序; 算术逻辑运算部件ALU ALU的主要作用完成二进制代码的定点算术运算和逻辑运算。ALU 的核心是加法器。 加法器 全加器 Ai Bi Ci ∑i, C i +1 可以用两个半加器构成的全加器; ∑I= Ai Bi Ci Ci+1= AiBi+(Ai Bi) Ci 3-1 运算器 并行加法器的进位 并行加法器:N位同时进行,由进位链实现进位信号Ci的传递。 设:A=An-1An-2…Ai..A1A0 B=Bn-1Bn-2…Bi..B1B0 则:Ci+1= AiBi+(Ai Bi) Ci 令: Gi= AiBi Pi= Ai Bi 于是: Ci+1= Gi+ Pi Ci 串行进位:使用进位线将n个全加器串接起来,进位延迟时间较长。节省器件,成本低。 3-1 运算器 并行进位:各级进位信号同时形成,增加硬件逻辑线路,有效地减少进位延迟时间。(同时进位) (见P61 图3-3)以4位加法为例 C1=G0+P0C0 C2=G1+P1C1 = G1 +P1G0 +P1P0C0 C3=G2+P2C2 =。。。。。 C4=G3+P3C3 =。。。。。 通过上式可以发现Ci可同时形成。 对于长字长的加法器通常采用分组进位结构: 组内并行、组间串行进位链 组内并行、组间并行进位链 ALU 举例 SN74181介绍 (见P62 图3-4)内部结构; 功能:4位ALU 完成16种算术逻辑运算; 4片SN74181和1片SN74182组成一个16位的组间并行进位ALU。 (见P63 图3-6 ) 3-2 运算方法 定点加减运算 原码加减 由操作码、操作数的符号决定最终的操作,结果的符号判断复杂; 繁琐,硬件复杂; 补码加减 [X + Y]补= [X]补+[Y]补 [X - Y]补= [X]补+[-Y]补 补码表示,符号参加运算,结果为补码表示; 例[X]补= [Y]补=[X + Y]补 [X - Y]补 + + 1 3-2 运算方法 溢出的判断 运算结果为正,且大于所能表达的最大正数,称为正溢出; 运算结果为负,且小于所能表达的最小负数,称为负溢出; 溢出判断方法: P66 单符号位判断 溢出=An Bn Sn+ AnBnSn 最高有效位的进位判断 P67 变形补码(双符号位) 00为正,11为负(运算时扩充) 结果01正溢出,10负溢出(判断) 例 P67 变形补码 请同学们练习 P111 第3题 (2) 第4题 (2) 3-2 运算方法 移位 逻辑移位、循环移位和算术移位; 逻辑移位 无数值意义的二进制码,左移时低位补0,右移时高位补0; 循环移位 闭合移位环路 算术移位 带符号数的移位,左移一位相当于乘2,右移一位相当于除2; 原

文档评论(0)

allap + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档