交通灯控制器课程设计.doc

  1. 1、本文档共15页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
PAGE PAGE 2 湖南人文科技学院 课程设计报告 课 程 名 称:VHDL语言与EDA课程设计 设计题目: 交 通 灯 控 制 器 系 别: 通信与控制工程系 专 业: 电子信息工程 班 级: 2006级 1班 学生姓名: 彭智伟 董中林 学 号: 起止日期: 2008年12月22日 ~ 2008年12月31日 指导教师: 田汉平 周桃云 岳舟 教研室主任: 谢四莲 指导教师评语: 指导教师签名: 年 月 日 成绩评定 项 目 权重 成绩 彭智伟 董中林 1、设计过程中出勤、学习态度等方面 0.2 2、课程设计质量与答辩 0.5 3、设计报告书写及图纸规范程度 0.3 总 成 绩 教研室审核意见: 教研室主任签字: 年 月 日 教学系审核意见: 主任签字: 年 月 日 摘 要 本系统采用GW48 EDA/SOPC实验箱来设计交通灯控制器,模拟实现了红、绿灯指挥交通的功能。它直接采用FPGA/CPLD芯片开发,用VHDL语言编程和QUARTUS Ⅱ6.0设计。交通灯控制器设计, 系统地阐述了用FPGA/CPLD实现数字电路的设计过程, 展示了FPGA/CPLD的强大功能和非凡特性。本交通灯控制器适用东西方向和南北方向的车流量大致相同的路口,红灯45秒,黄灯5秒,绿灯40秒,同时用数码管指示当前状态(红、黄、绿灯)剩余时间。另有一个紧急状态,当紧急状态出现时,两个方向都禁止通行,指示红灯。紧急状态解除后,重新计时并指示时间。 关键词:交通灯控制器,EDA,FPGA,quartusII 目 录 TOC \o 1-3 \h \z \u 设计要求 1 1方案论证与对比 1 1.1方案一 1 1.2方案二 1 2 设计过程 1 2.1总体设计慨要 1 2.2 交通灯原理分析 2 3 模块设计及仿真 3 3.1 顶层文件的设计 3 3.2 状态控制模块(jtd ctrl) 4 3.3倒计时模块(jtd time)的设计 4 3.4数码管显示模块(jtd xs)的设计 6 3.5信号灯显示模块(jtd light)的设计 7 3.6引脚锁定 8 4编程下载 9 5结束语 9 6致谢 10 7参考文献 10 交通灯控制器 设计要求 设东西方向和南北方向的车流量大致相同,因此红、黄、绿灯的时长也相同,定为红灯45秒,黄灯5秒,绿灯40秒,同时用数码管指示当前状态(红、黄、绿灯)剩余时间。另外,设计一个紧急状态,当紧急状态出现时,两个方向都禁止通行,指示红灯。紧急状态解除后,重新计时并指示时间。 1方案论证与对比 1.1方案一 采用VHDL语言直接编写,实现交通灯指挥功能。但此方案编写复杂且困难,开发时间较长。编译后,不便读懂,找出错误很困难。 1.2方案二 采用模块层次化设计,将此设计分为四个模块:计时模块,状态控制模块,信号灯显示模块,数码扫描显示模块。将四个模块再分别用VHDL语言编写成,做成原理图模块,用原理图输入法做整个设计的顶层文件。此方案设计方便、简单,方法易懂、易操作,也易于寻找程序中的错误,故我们采用此方案。 2 设计过程 2.1总体设计慨要 根据交通灯控制器的功能与要求,将其总体电路分为状态控制,倒计时,数码管显示,信号灯显示模块(jtd ctrl,jtd time,jtd xs,jtd light)。jtd ctrl实现逻辑和时序控制,外部两路脉冲振荡器的频率选为1 kHz和1 Hz的信号,1khz信号用于显示模块的扫描,1 Hz信号用做倒计时模块的计数脉冲。M为紧急状态和自动运行状态的控制端。总体框图: 图 1 总体结构图 图 1 总体结构图 2.2 交通灯原理分析 当M = 1时,进入紧急状态,S输出为B100100,即南北、东西向均为红灯亮。 当M = 0时,交通即开始正常工作。M=‘0’时,经过40秒, S由B100010变为B1000

文档评论(0)

yurixiang1314 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档