数电实验报告(三)课件.doc

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
数字电路实验设计报告 实验名称:集成触发器 实验目的:学会用 VHDL语言实现触发器的功能。 实验仪器: 1.计算机 1 台 2.数字电路实验板 1 块 实验内容: 1. 用 VHDL语言描述 D 触发器,实现功能、时序仿真。 2. 用 VHDL语言描述 JK触发器,实现功能、时序仿真。 3.用 VHDL语言设计一个广告流水同步时序电路,广告流水灯 有四个灯,这四个灯始终是一暗三明且暗灯循环右移,其状态 图如图 5-11 所示,图中 ¤表示灯亮,◎表示灯暗;并进行功能 和时序仿真,并下载入 FPGA,在试验箱上测试其电路功能。 1CP ◎ ¤ ¤ ¤ 2CP ¤ ◎ ¤ ¤ 3CP ¤ ¤ ◎ ¤ 4CP ¤ ¤ ¤ ◎ 图 5-11 广告流水灯状态图 VHDL语言描述及仿真结果: 1. D 触发器 (1) VHDL语言描述 entity diffq is port ( clk : in bit; d : in bit; q : out bit ); end diffq; architecture one of diffq is begin process(clk) begin if(clkevent and clk=1)then q=d; end if; end process; end one; (2)功能仿真 (3)时序仿真 2. JK触发器 (1)VHDL语言描述 entity jk is port ( clk: in bit; j,k : in bit; q: out bit ); end jk; architecture one of jk is signal q1:bit; begin process(clk) begin if(clkevent and clk=1)then q1=(j and not q1)or(not k and q1); end if; q=q1; end process; end one; (2) 功能仿真 (3) 时序仿真 3. 流水灯 (1)VHDL语言描述 entity deng is port ( q1,q2: in bit; l1,l2,l3,l4: out bit ); end deng; architecture one of deng is begin l1=( not q1)or(not q2); l2=( not q2)or q1; l3=( not q1)or q2; l4= q1 or q2; end one; (2) 功能仿真 (3) 时序仿真

文档评论(0)

liuxiaoyu99 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档