数字转速计基于32位nios处理器.docVIP

  1. 1、本文档共13页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
. . ?中文摘要:本文主要介绍了以全数字结构化设计的方法来设计数字转速计的过程。首先介绍了数字化转速测量的概况,着重说明了编码器在转速测量中的应用。介 绍了转速测量的多种方案,重点阐述了在一片FPGA中集成一个nios处理器和等精度测频模块用于转速测量的详细设计过程,对nios和FPGA的性能及 特点也做了说明。重点讲述了以等精度测频方法进行转速测量的原理及详细实现过程,给出了等精度测频的VHDL代码和Modelsim的仿真波形。文中也给 出了nios处理器与等精度测频模块、LCD模块、键盘等的接口电路,同时提供了nios处理器及等精度测频模块的代码和调试过程。结合本设计还介绍了 Quartus项目一般开发过程。 ??????关键词:转速计;nios;等精度测频;Modlesim仿真 ?????? Abstract: This paper describes the process of structured design method to all-digital design digital tachometer. First an overview of the digitization speed measurement, highlighting the encoder speed measurement. Speed measurement of a variety of programs, focusing on a Nios II processor in an FPGA integrated speed measurement and precision frequency measurement module for the detailed design process, the performance and features of the Nios and FPGA described. Focuses on precision frequency measurement method of speed measurement principles and detailed implementation process precision frequency measurement VHDL code and Modelsim simulation waveforms. This paper also gives the Nios II processor with precision frequency measurement module, LCD module, a keyboard interface circuit, while providing a Nios II processor and precision frequency measurement module of the program code and debugging process. Combined with the design also describes the Quartus general development process. ??????Keywords: tachometer; nios II; precision frequency measurement; Modlesim simu ??????目录 ??????一、前言1 ??????1、数字转速测量概况1 ??????2、本课题内容及任务要求1 ??????(1)课题内容1 ??????(2)课题任务要求1 ??????二、数字转速计系统介绍1 ??????1、数字转速计方案比较2 ??????2、数字转速计整体结构2 ??????3、FPGA介绍3 ??????4、软件简介4 ??????(1)quartus介绍4 ??????(2)编译环境nios5 ??????(3)VHDL语言介绍5 ??????三、硬件设计6 ??????1、转速检测部分6 ??????2、显示部分6 ??????3、KX-7C5H评估板7 ??????四、主要模块电路设计7 ??????1.等精度测频模块设计7 ??????(1)等精度测频原理7 ??????(2)等精度测频模块设计9 ??????(3)等精度测频仿真12 ??????2. nios处理器模块设计15 ??????3、锁相环PLL模块18 ??????五 转速测量原理18 ??????1、转速测量原理图18 ??????2、转速测量流程19 ??????六、 系统设计19 ??????1、软件设计19 ??????(1)程序流程图19 ??????七、调试过程22 ??????1、方框图

文档评论(0)

hkfgmny + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档