第一章第三节第四节集成电路CAD概述习题.ppt

第一章第三节第四节集成电路CAD概述习题.ppt

  1. 1、本文档共54页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第一章 集成电路(IC)设计及集成电路CAD工具应用概述 第三节 集成电路CAD概述 1.1.1计算机辅助技术 术语荟萃 CAX(Computer Aided X)计算机辅助技术 CAD(Computer Aided Design)计算机辅助设计 CAM(Computer Aided Manufacturing)计算机辅助制造 CAPP计算机辅助工艺规划 (Computer Aided Process Programming) CIMS计算机集成制造系统 (Computer Aided Manufacturing System) CAE计算机辅助工程 (Computer Aided Engineerring) CAT计算机辅助测试 (Computer Aided Test) 1.3.2 EDA工具的 发展与现状 集成电路最重要的生产过程包括 1.开发EDA(电子设计自动化)工具2.利用EDA进行集成电路设计; 3.根据设计结果在硅圆片上加工芯片; 4.对加工完毕的芯片进行测试; 5.对芯片进行封装; 最后经应用开发将其装备到整机系统上与最终消费者见面。 第一代用于IC设计的CAD工具出现于20世纪60年代末70年代初,只能用于芯片的版图设计及版图设计规则检查,尚不能提供电器规则检查以保证所设计的版图满足电路性能的要求。 20世纪80年代,工作站(Workstation)推出,一些CAD公司推出了第二代CAD系统。这些软件不仅具有图形处理能力,而且还有原理图输入和模拟能力。随着pc机的普及,这种IC CAD开发工具又很快进入到个人计算机领域。 第三代,称之为电子设计自动化(EDA:Electronic-System Design Automation)系统。目前,设计工具已从CAD技术发展到了EDA技术. EDA即电子设计自动化,EDA技术的发展是以计算机科学、微电子技术的发展为基础,并汇集了计算机图形学、拓扑学和计算数学等众多学科的必威体育精装版成果发展起来的。 这些EDA系统功能覆盖了电子产品的设计全过程,从系统描述输入、综合、模拟、布图、验证、到测试都有各种各样的CAD工具,系统的设计能力已经达到每个芯片几十万到上百万门。在现代电子设计中,EDA技术已经成为一种普遍的工具,对设计者而言,熟练的掌握EDA技术,可以大大提高工作效率。 近年来,EDA设计工具根据市场需求迅速发展,出现了专门的EDA工具供应商,目前,EDA主要市场份额为美国的Cadence、Synopsys和Mentor等少数企业所垄断,中国华大集成电路设计中心是国内唯一一家EDA开发和产品供应商。 EDA设计条件 1)硬件:高性能的计算机,包括工作站(Working Station),应用的操作系统是UNIX,还有高性能的PC机,应用的操作系统有两种,Linux和Windows。 工作站 诞生于20世纪80年代 品种:SUN,IBM,DEC,HP,SGI等公司的产品 2)软件 工作站EDA软件,微机EDA软件。 3)IC设计工程师 了解电路基础知识。至少掌握一门硬件语言,熟悉工具使用,对所作的项目有一个全面的了解。 1.3.3 目前应用广泛的EDA工具 1、Cadence EDA软件 2、Synopsys EDA软件 3、Mentor EDA 4、Zeni EDA软件 安装在工作站上的软件 EDA工具仍以工作站作为主要安装平台,尽管微机非常普及,但是由于多种原因,安装在工作站上的软件普遍功能较全。 1、Cadence EDA软件 Cadence公司成立于1987年,是世界EDA业界的著名公司之一。 Cadence是一个大型的EDA软件,包括了ASIC设计整个流程所需的工具。 电路图设计工具Composer 数字仿真工具Verilog-xl 布局布线工具Silicon Ensemble 电路模拟工具Analog Artist 版图设计工具Virtuoso Layout Editor 版图验证工具Dracula Cadence的综合工具与Synopsys的相比略有逊色,一般工作站上的综合都是由DC来完成。所以Cadence与Synopsys的结合可以说是EDA 设计领域的黄金搭档。 2、Synopsys EDA软件 Synopsys公司在EDA业界以它的综合工具而著称。Synopsys提倡高层设计,使用该公司的综合工具。 3、Mentor EDA Mentor EDA公司创立于1981年,具有EDA全线产品,包括以下工具: 设计图输入 数字电路设计工具 模拟电路分析工具 数/模混合电路分析工具 逻辑综合工具 故障分析模拟工具、PCB设计、ASIC设计与校验、自动测试矢

文档评论(0)

aena45 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档