- 1、本文档共7页,可阅读全部内容。
- 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
10进制加减计数器状态机的VHDL设计
【设计目的】
学习并掌握Quartus II 开发系统的基本操作。
掌握用Quartus II进行文本输入法进行电路设计、编译和仿真方法。
掌握CPLD/FPGA的开发流程。
掌握EDA实验开发系统的使用。
掌握状态机的原理。
掌握简单状态机的VHDL设计方法
掌握计数器的设计方法。
掌握带有复位和和时钟使能的10进制计数器的原理。
掌握通用计数器的设计方法。
学习使用VHDL进行时序逻辑电路的设计。
学习利用真值表编写VHDL程序。
学习掌握7段数码显示译码器设计的原理。
掌握VHDL语言方式设计7段数码显示译码器。
学习掌握8位数码管动态扫描显示电路的原理。
掌握VHDL语言设计8位数码管动态扫描显示电路
学习VHDL语言设计较复杂的电路方法。
【设计任务】
设计要求
用VHDL语言设计一个状态机,要求具有以下状态和功能中的四种或者四种以上:
复位功能
8、10或者16进制加法计数
8、10或者16进制减法计数
数码管以任何方式闪动
8个LED灯以任何方式闪动
蜂鸣器发声或者播放一段音乐
并用两位数码管将结果显示出来
本次设计选用的功能状态为:
复位功能
十进制加法计数
十进制减法计数
数码管闪动
8个led灯闪动
并用两位数码管将结果显示出来
功能实现说明
Clk为50MHz的时钟信号输入;rst为复位控制按键(低电平有效);en(0-2)通过三个按键分别控制3种状态(低电平有效)。
当en(0)=`0`时,启动加法计数并将结果动态显示到两位数码管上,同时另外的两位数码管的6段以相反方向按顺序闪烁
当en(1)=`0`时,启动减法计数并将结果动态显示到两位数码管上,同时另外的两位数码管的6段以相反方向按顺序闪烁,闪烁方向与加法的相反;
当en(2)=`0`时,启动LED闪烁功能,LED灯按顺序逐个流水闪动;
当rst=`0`时,复位清零;
【设计代码】
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-----------------------------------------------------------------------------
ENTITY cnt16 IS
PORT (
clk : IN std_logic; --50MHz时钟信号输入
rst : IN std_logic; ---复位功能输入
en : IN std_logic_vector(2 DOWNTO 0); ---状态选择输入
led8 : OUT std_logic_vector(7 DOWNTO 0); --各段数据输出
com8 : OUT std_logic_vector(7 DOWNTO 0)); ---各位数据输出
END cnt16;
-----------------------*****对各个信号进行定义****-------------------------------------
ARCHITECTURE arch OF cnt16 IS
signal cnt : std_logic_vector(25 downto 0 );
signal data : std_logic_vector(3 downto 0);
signal led8_xhdl : std_logic_vector(7 downto 0);
signal com8_xhdl : std_logic_vector(7 downto 0);
signal coud1 : std_logic_vector(3 downto 0);
signal coud2 : std_logic_vector(3 downto 0);
signal s1 : std_logic;
signal s2 : std_logic;
signal s3 : std_logic;
signal coud : std_logic_vector(3 downto 0);
signal first : std_logic_vector(3 downto 0); --0000
signal second : std_logic_ve
您可能关注的文档
- 0-6岁儿童发展 幼儿 教育.doc
- 0-6岁儿童智能综合测评标准.doc
- 0岁-13岁儿童教育调查问卷.doc
- 1-2岁幼儿健康饮食.doc
- 1-15岁宝宝的智能发展测评.doc
- 1W大功率规格书.doc
- 1月8日新年客户答谢会新闻稿初稿.doc
- 002-GMP文件发放、收回、销毁记录表.doc
- 002工程施工模板脚手架坍塌事故解析讲座(幻灯片).ppt
- 2-3岁宝宝五大领域学习.doc
- 第12课 大一统王朝的巩固 课件(20张ppt).pptx
- 第17课 君主立宪制的英国 课件.pptx
- 第6课 戊戌变法 课件(22张ppt).pptx
- 第三章 物态变化 第2节_熔化和凝固_课件 (共46张ppt) 人教版(2024) 八年级上册.pptx
- 第三章 物态变化 第5节_跨学科实践:探索厨房中的物态变化问题_课件 (共28张ppt) 人教版(2024) 八年级上册.pptx
- 2025年山东省中考英语一轮复习外研版九年级上册.教材核心考点精讲精练(61页,含答案).docx
- 2025年山东省中考英语一轮复习(鲁教版)教材核心讲练六年级上册(24页,含答案).docx
- 第12课近代战争与西方文化的扩张 课件(共48张ppt)1.pptx
- 第11课 西汉建立和“文景之治” 课件(共17张ppt)1.pptx
- 唱歌 跳绳课件(共15张ppt内嵌音频)人音版(简谱)(2024)音乐一年级上册第三单元 快乐的一天1.pptx
文档评论(0)