电工与电子技术基础 半加器、全加器的工作原理和逻辑功能 96、编码器与译码器的工作原理和逻辑功能.docx

电工与电子技术基础 半加器、全加器的工作原理和逻辑功能 96、编码器与译码器的工作原理和逻辑功能.docx

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
编码器与译码器的工作原理和逻辑功能 编码器 功能:允许同时在几个输入端有输入信号,编码器按输入信号排定的优先顺序,只对同时输入的几个信号中优先权最高的一个进行编码。 74HC148在优先编码器电路中,允许同时输入两个以上编码信号。不过在设计优先编码器时,已经将所有的输入信号按优先顺序排了队。在同时存在两个或两个以上输入信号时,优先编码器只按优先级高的输入信号编码,优先级低的信号则不起作用。74148是一个八线-三线优先级编码器。 74148优先编码器为16脚的集成芯片,除电源脚 VCC(16)和GND(8)外,其余输入、输出脚的作用和脚号如图中所标。其中 I 0— I 7为输入信号, A2,A1,A0为三位二进制编码输出信号, EI是使能输入端, EO使能输出端, G S为片优先编码输出端。 由74148真值表可列输出逻辑方程为: A2 =(I4+I5+I6+I7)EI A1 = (I2I4I5+I3I4I5+I6+7)· EI A0 = (I1I2I4I6+I3I4I6+I5I6+I7)· EI 当使能输入 IE=1时,所有输出端群被封锁在高电平。 当使能输入IE=0时,允许编码,在I0~I7输入中,输入 I7优先级最高,其余依次为: I6,I5,I4,I3,I2,I1,I0等级排列。 使能输出端EO的逻辑方程为: EO =I0· I1· I2· I3· I4· I5· 67· EI, 此逻辑表达式表明当所有的编码输入端都是高电平(即没有编码输入),且EI=0时,EO才为零; 表明EO的低电平输出信号表示“电路工作,但无编码输入。 扩展片优先编码输出端 G S的逻辑方程为: GS = (I0+I1+I2+I3+I4+I5+I6+I7)· EI 此时表明只要任何一个编码输入段有低电平信号输入,且EI=0,GS即为低电平。 GS的低电平输出信号表示“电路工作,而且有编码输入。”(GS=0)[1]在《数字电子技术基础》中,EI表示S,EO表示Ys,GS表示YEX(EX为下标) 在仿真软件multisim中,有74HC148DW,74HC148N 两种表示的是封装不同 不影响仿真。 译码器 译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的各种状态,按照其原意翻译成对应的输出信号。有一些译码器设有一个和多个使能控制输入端,又成为片选端,用来控制允许译码或禁止译码。 在图1中,74138是一种3线—8线译码器 ,三个输入端CBA共有8种状态组合(000—111),可译出8个输出信号Y0—Y7。这种译码器设有三个使能输入端,当G2A与G2B均为0,且G1为1时,译码器处于工作状态,输出低电平。当译码器被禁止时,输出高电平。 图2时检测74ls138译码器时间波形的电路,使用的虚拟仪器为数字信号发生器和逻辑分析仪。数字信号发生器在一个周期内按顺序送出两组000—111的方波信号。 图3表明如何将两片3线—8线译码器连接成4线—16线译码器。其中第二片74138的使能端G1和第一片的使能端G2A接成D输入端。当D=0时,第一片74138工作,对0000—0111的输入信号进行译码输出。当D=1时,第二片74138工作,对1000—1111的输入信号进行译码输出。 在图4中 ,7442为二—十进制译码器,具有4个输入端和10个输出端。输入信号采用8421BCD码,二进制数0000—1001与十进制数0—9对应。当输入超过这个范围是无效,10个输出端均为高电平。7442电路没有使能端,因此只要输入在规定范围内,就会有一个输出端为低电平。 图5位BCD—七段显示译码器电路,LED数码管将显示与BCD码对应的十进制数0—9。因为显示译码器电路输出高电平,所以应该采用共阴极LED数码管。 编码与译码的过程刚好相反。通过编码器可对一个有效输入信号生成一组二进制代码。有的编码器设有使能端,用来控制允许编码或禁止编码。 优先编码器的功能是允许同时在几个输入端有输入信号,编码器按输入信号排定的优先顺序,只对同时输入的几个信号中优先权最高的一个进行编码。在图6中,74147为BCD优先编码器,输入和输出都是低电平有效。为了取得有效输出高电平,可在每个输出端连接一个反相器。7417只有1—9各输入端,0输入端不接入电路。这是因为7417约定,当无有效输入时,输出0的BCD代码0000。 图7是一个检测优先编码/译码功能的逻辑电路,对每一个接地的逻辑开关,数码管都会显示一个相应的十进制数。在输入端的8个逻辑开关中,代号为[7]的优先级别最高,代号为[0]的优先级别最低。

文档评论(0)

WanDocx + 关注
实名认证
内容提供者

大部分文档都有全套资料,如需打包优惠下载,请留言联系。 所有资料均来源于互联网公开下载资源,如有侵权,请联系管理员及时删除。

1亿VIP精品文档

相关文档