EDA导论及VHDL技术实验资料.pdf

  1. 1、本文档共44页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA 导论及 VHDL 技术实验 实验一 在 QuartusII6.0 中用原理图输入法设计 1 位全加器 一、实验目的 1、熟悉利用 Quartus Ⅱ6.0 的原理图输入方法设计简单组合电路。 2、掌握层次化设计的方法,并通过 1 位全加器的设计把握利用 EDA 软件进行原理图 输入方式的电子线路设计的详细流程。 二、实验内容 1 位全加器可以用两个半加器及一个或门连接而成,因此首先需要完成半加器的设计。 半加器及全加器的的电路原理图分别如图 1- 1 和 1- 2 所示。 图 1- 1 半加器原理图 图 1- 2 全加器原理图 半加器和全加器的设计操作步骤如下,包括原理图输入、编译、综合、适配、仿真、实 验板上的硬件测试。 1、启动 Quartus Ⅱ6.0 软件 双击计算机桌面上的“ Quartus Ⅱ6.0 ”图标,进入 Quartus Ⅱ6.0 开发环境,如图 1- 3 所 示。 1 图 1- 3 Quartus Ⅱ6.0 开发环境 2、建立新工程 图 1- 4 建立新工程 1)指定工程名称 单击“ File ”菜单下的“ New Project Wizard … ”命令,如图 1- 4 所示。点击“ Next ”, 弹出如图 1- 5 所示的对话框, 在此对话框中自顶向下分别输入新工程所在路径 (磁盘和文件 2 夹名)、工程名和顶层实体的名字,工程名要和顶层实体的名字相同。本例中建立的工程名 称为“ f_adder1 ”,即全加器的文件名为“ f_adder1 ”。注意:新工程所在路径(磁盘和文件 夹名) 必须定义,如果直接放在根目录下而没有放在某个文件夹中的话,那样是不行的,如 果输入的文件夹不存在,那么会直接创建一个文件夹放入。 图 1- 5 指定工程的基本信息 2 )选择需要加入的文件和库 单击图 1- 5 中的“ Next ”按钮,此时,如果文件夹不存在的话,系统会提示用户是否创 建该文件夹,选择“ Yes”按钮后会自动创建。接下来弹出图 1- 6 所示的对话框。如果此设 计中包括其他设计文件,可以在“ File name ”的下拉菜单中选择文件,或者单击“ Add All ” 按钮加入在该目录下的所有文件。如果需要用户自定义的库,单击“ User Libraries … ”按钮 进行选择。本例中没有需要添加的文件和库,直接单击“ Next ”按钮即可。 3 图 1- 6 添加文件对话框 3)选择目标器件 在弹出的对话框中选择目标器件, 如图 1- 7 所示。 在 “Target device ”选项下选择 “Auto device selected by the Fitter ”选项,系统会自动给所设计的文件分配一个器件;如果选择 “Specific device selected in ‘Available devices ’list ”选项,用户需指定目标器件。在右侧的 “Show in Available device‘ ’ list ”选项下,选择器件的封装类型( Package)、引脚数量( Pin count )和速度

文档评论(0)

tianya189 + 关注
官方认证
内容提供者

该用户很懒,什么也没介绍

认证主体阳新县融易互联网技术工作室
IP属地上海
统一社会信用代码/组织机构代码
92420222MA4ELHM75D

1亿VIP精品文档

相关文档