EDA课程设计八位数码管扫描显示电路的设计资料.pdf

EDA课程设计八位数码管扫描显示电路的设计资料.pdf

  1. 1、本文档共20页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
《EDA 技术及应用》 课程设计报告 题 目: 八位数码管扫描显示电路的设计 院 (系): 机电与自动化学院 专业班级: 电气自动化技术 1001 学生姓名: 学 号: 20102822018 指导教师: 何为 2012 年 6 月 10 日至 2012 年 6 月 23 日 - 1 - 《EDA技术及应用》课程设计任务书 一、设计题目 八位数码管扫描显示电路的设计 二、设计主要内容 本课题要求掌握使用 Quartus II 设计数字系统的设计思路和设计方法。学习 VHDL 基本逻辑电路的综合设计应用。掌握 VHDL 语言的语法规范,掌握时序电 路描述方法。掌握多个数码管动态扫描显示的原理及设计方法。 设计一个八位数码管共阴极动态扫描显示控制电路,要求显示学生自己的学 号。利用实验室设备完成系统设计并进行运行调试。 1、具体设计内容如下: (1)静止显示学号; (2)动态循环显示学号。 2、提供设计报告,报告要求包括以下内容:设计思路、设计输入文件、设计 与调试过程、模拟仿真结果和设计结论。 三、原始资料 1、LED显示模块原理 LED有段码和位码之分,所谓段码就是让 LED显示出“ 8. ”的八位数据,一般 情况下要通过一个译码电路, 将输入的 4 位 2 进制数转换为与 LED显示对应的 8 位 段码。位码也就是 LED的显示使能端,对于共阳级的 LED而言,高电平使能。要让 8 个 LED同时工作,显示数据,就是要不停的循环扫描每一个 LED,并在使能每一 个 LED的同时,输入所需显示的数据对应的 8 位段码。虽然 8 个 LED是依次显示, 但是受视觉分辨率的影响,看到的现象是 8 个 LED同时工作。 多个数码管动态扫描显示,是将所有数码管的相同段并联在一起,通过选通信 号分时控制各个数码管的公共端,循环点亮多个数码管,并利用人眼的视觉暂留现 象,只要扫描的频率大于 50Hz,将看不到闪烁现象。 2、系统结构图信号名与芯片引脚对照表 - 2 - 硬件资源 元件引脚 EP3C引脚序号 电路使用说明 A 133 B 135 C 136 该部分电路为固定 LED D 137 电路。 数码显示 E 138 使用 LED数码显示 F 141 时请按照器件引脚 G 142 分配表进行引脚分 Dp 128 配后再下载到芯片 S1

文档评论(0)

tianya189 + 关注
官方认证
内容提供者

该用户很懒,什么也没介绍

认证主体阳新县融易互联网技术工作室
IP属地上海
统一社会信用代码/组织机构代码
92420222MA4ELHM75D

1亿VIP精品文档

相关文档