EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器).pdfVIP

EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器).pdf

  1. 1、本文档共14页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
EDA实验报告(四选⼀、四位⽐较器、加法器、计数器、巴克码发⽣器) 实验1 4选1数据选择器的设计 ⼀、实验⽬的 1.学习EDA软件的基本操作。 2.学习使⽤原理图进⾏设计输⼊。 3.初步掌握器件设计输⼊、编译、仿真和编程的过程。 4.学习实验开发系统的使⽤⽅法。 ⼆、实验仪器与器材 1.EDA开发软件⼀套 2.微机⼀台 3.实验开发系统⼀台 4.打印机⼀台 三、实验说明 本实验通过使⽤基本门电路完成4选1数据选择器的设汁,初步掌握EDA设计⽅法中的设汁输⼊、编译、综合、仿真和编程的过程。实验结果可通过实验开发系统验证,在实验开发 系统上选择⾼、低电平开关作为输⼊,选择发光⼆极管显⽰输出电平值。 本实验使⽤Quartus II软件作为设计⼯具,要求熟悉Quartus II软件的使⽤环境和基本操作,如设计输⼊、编译和适配的过程等。 实验中的设计⽂件要求⽤原理图⽅法输⼊,实验时,注意原理图编辑器的使⽤⽅法。例如,元件、连线、⽹络爼的放巻⽅法和放⼤、缩⼩、存盘、退岀等命令的使⽤。学会管脚锁 定以及编程下载的⽅法等。 四、实验要求 1.完成4选1数据选择器的原理图输⼊并进⾏编译; 2.对设计的电路进⾏仿真验证: 3.编程下载并在实验开发系统上验证设计结果。 五、实验结果 管脚分配: N;如kne DteOwn LccatMi Pwecgj G 【c^p I/ODo-l 2 GC6P I ifo Xfl-c t nk A Igt PHJ V21Bl NO AS-VLUTrifd 2?B Irpjt PIW.VI DJ_W ^VLVTTl(d 3? co1r(xt P1M IPS5a^Lumid庐Cl Irpul P1W.KC654avivin(d 5* C213P1KLP2S M」JO avLum(d 6* C3Inpjt叽⼼:■? ^3-VLVTn(d I* GK incut PJWJtfH7B7JJ1 aVLUTn(d 8o v O J U X A7B7 M J S3-VLVTn(d 9wvx4fr? 实验2 四位⽐较器 ⼀、实验⽬的 1. 设计四位⼆进制码⽐较器,并在实验开发系统上验证。 2. 学习层次化设il ?⽅法。⼆、实 验 仪器与器材 1. EDA 开发软件⼀套 2. 微机 ⼀台 3? 实验开发系统⼀台 4. 打印机 ⼀台 5. 其它器件与材料若⼲ 三.实验说明 本实验实现两个4位⼆进制码的⽐较器,输⼊为两个4位⼆进制码4仏和 输岀为M (A=B), G (AB)和L (A 为输⼊,发光⼆极管作为输出,具体倉脚安排可根据试验系统的实际情况⾃⾏泄义四、实验要求 1. ⽤硬件描述语⾔编写四位⼆进制码⽐较器的源⽂ 件; 2. 对设计进⾏仿真验证; 3. 编程下载并在实验开发系统上进⾏硬件验 证。 五.实验结果 四位⽐较器VHDL 源⽂件: library ieee; use ieee.std 」ogic_l 164.all; use ieee.std Jogic_arith.aH; use ieee.std Jogic_unsigned.all; entity comp4 is port (A:in s(d_logic_vcclor(3 downto 0); B:in std_logic_vector(3 downto 0); M,GL:out s(d」 ogic); end comp4; architecture behave of comp4 is begin pl: process(A.B) begin 四位⽐较器功能框图 if(AB) then G=T;MWO : elsif (AB) then Gv=O;Mv=O:Lv=T; elsif (A=B) then G=*O ,;M=, 1 ,;L=0,; else G=,r ;M=r ;L=r ; end if; end process pl; end behave; 仿真波 形图: SiiuLoticn iTicic : Pgdo ⼝且 管脚分配: Dredkc locate iVefCcap 【Q Standard Reserved Gn i 甬―电 iHrohn PIN N25 5 35 N1 3.3-VLVHl((fefBiit) 2 LrtroRn 刃N 」36 5 35JU 3.3-VLVTU[(fefejO 3 Lrtrown PJN.P25 6 B6J? 3.3-VLVTU^Jt) 4 thrown PIN 冲 7 37.H1 3.3-VLlfm.^faiit) 5 IlHronn MN

文档评论(0)

千帆起航 + 关注
实名认证
文档贡献者

走过路过,不要错过!

1亿VIP精品文档

相关文档