基于FPGA的电子抢答器的程序设计毕业设计.doc

基于FPGA的电子抢答器的程序设计毕业设计.doc

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于FPGA的电子抢答器的程序设计 摘 要 随着科学技术日新月异,文化生活日渐丰硕,在各类竞赛、抢答场合电子抢答器已经作为一种工具取得了较为普遍的应用。顾名思义,电子抢答器是一种通过抢答者的指示灯显示、数码显示和警示显示等手腕准确、公正、直观地判定出最先取得发言权选手的设备。 这次设计有4组抢答输入,每组设置一个抢答按钮供抢答者利用。电路具有第一抢答信号的辨别和锁存功能。当第一抢答者按下抢答开关时,该组指示灯亮以示抢答成功。同时,电路也具有自锁功能,保证能够实此刻一路成功抢答有效后,其他三路均不能抢答。本设计基于VHDL语言,采纳FPGA为操纵核心,并结合动手实践完成,具有电路简单、操作方便、灵敏靠得住等优势。该四路抢答器利用VHDL硬件描述语言进行编程,分为七个模块:判定模块,锁存模块,转换模块,扫描模块,片选模块,按时报警模块和译码模块。编程完成后,利用QuartersII工具软件进行编译仿真验证。 关键词:VHDL,FPGA,四路抢答器,仿真 目录 TOC \o 1-3 \h \u 1 概述 1 设计背景 1 抢答器现状 1 本论文要紧完成的工作 1 设计心得 2 2 开发工具简介 3 VHDL语言简介 3 FPGA开发进程与应用 4 FPGA进展历程及现状 4 FPGA工作原理 4 FPGA开发流程 5 Quartus II软件 6 3系统设计 8 系统设计要求 8 系统设计方案 8 系统硬件设计方案 8 系统软件设计方案 8 系统原理详述 10 4 电路程序设计及仿真 12 抢答锁存模块设计 12 VHDL源程序 12 抢答锁存电路的模块 13 仿真 14 总结 15 致谢 17 参考文献 18 郑州轻工业学院 课 程 设 计 任 务 书 题目 基于FPGA的电子抢答器的程序设计 专业班级 电子信息工程10-1班 学号 姓名 要紧内容、大体要求、要紧参考资料等: 要紧内容: 抢答器是在竞赛、文体娱乐活动(抢答活动)中,能准确、公正、直观地判定出抢答者的机械。要求学生利用硬件描述语言(Verilog 或 VHDL)设计基于FPGA的电子抢答器的源程序。实现如下功能:设计一个四路抢答器;在一路成功抢答有效后,其他三路均不能抢答,而且将抢答成功的一路用指示灯显示出来。 大体要求: 学会quartusII的利用,把握FPGA 的程序设计方式。 二、把握硬件描述语言语法。 4、程序设计完成后要求在quartusII中实现功能仿真。 要紧参考资料: 一、. [M]..2021,4 二、陈怀琛.MATLAB及在电子信息课程中的应用[M].北京:电子工业出版社.2020,1 完 成 期 限: — 指导教师签名: 课程负责人签名: 2021年 6月 18日 1 概述 设计背景 现场可编程门阵列(简称FPGA)是20世纪80年代中期显现的高密度可编程逻辑器件,采纳SRAM开关元件的FPGA是易失性的,每次从头加电, FPGA都要从头装入配置数据。突出优势是可反复编程,系统上电时,给FPGA加载不同的配置数据,即可令其完成不同的硬件功能。这种配置的改变乃至能够在系统的运行中进行,实现系统功能的动态重构。“在系统可编程”(简称ISP)是指对器件、电路或整个电子系统的逻辑功能可随时进行修改或重构的能力,支持ISP技术的可编程逻辑器件称为在系统可编程逻辑器件,它不需要专门的编程器,利用运算机接口和一根下载电缆就能够够对器件编程了。本设计针对电子技术综合实验的要求,利用EDA技术中quartusII作为开发工具,设计了一款基于FPGA的智力竞赛抢答器。 抢答器现状   在进行智力竞赛抢答题竞赛时,各参赛者考虑后都想抢先答题。若是没有适合的设备,有时难以分清他们的前后,使主持人感到为难。为了使竞赛能顺利进行,需要有一个能判定抢答前后的设备,咱们将它称为智力竞赛抢答器。在许多抢答竞赛、文体娱乐活动,为了准确、公正、直观地判定出第一抢答者,通常需要设置一台如此的抢答器,通过指示灯显示出第一抢答者。 本论文要紧完成的工作 本课程设计基于VHDL语言,采纳FPGA为操纵核心,并结合动手实践完成,具有电路简单、操作方便、灵敏靠得住等优势。设计四路抢答器利用VHDL硬件描述语言进行编程

文档评论(0)

131****8213 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档