EDA课程设计_7完整版.doc

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
PAGE PAGE 10 乒乓球游戏电路设计 乒乓球设计方案 (1)我们设计的乒乓球游戏是以一排发光二极管交替发光指示乒乓球的行进路径,其行进的速度可由输入的时钟信号控制。乒乓球比赛是甲乙双方的比赛,所以用两个按键模拟左右两个球拍;我们还要设计一个乒乓球控制模块,即当发光二极管到最后一个的瞬间,若检测到对应的表示球拍的键的信号,立即使“球”反向运行,如果此瞬间没有接到按键信号,将给出失败鸣叫,同时为对方记1分,并将记分显示出来,然后由对方重新发球以此类推;还要设计失球计数器的高低位计数模块;乒乓球行进方向控制模块,主要由发球键控制;还要有一个失球提示发生模块。 (2)要知道乒乓球的游戏规则,以多少分为一局,谁先得到一定的分数谁就赢一局,以此来判断输赢。 (3)用数码管显示双方的得分,还要设计一个十进制计数器,来显示分数。 (4)在乒乓球设计方案时还要考虑球速的问题。 二、乒乓球游戏电路的设计程序 1、 LIBRARY IEEE;--乒乓球游戏顶层文件 use ieee.std_logic_1164.all; entity TENNIS is port(bain,bbin,clr,clk,souclk:in std_logic; ballout:out std_logic_vector(7 downto 0); countah,countal,countbh,countbl:out std_logic_vector(3 downto 0); lamp,speaker:out std_logic); end entity TENNIS; architecture ful of TENNIS is component sound port(clk,sig,en:in std_logic; sout:out std_logic); end component; component ballctrl port(clr,bain,bbin,serclka,serclkb,clk:in std_logic; bdout,serve,serclk,ballclr,ballen:out std_logic); end component; component ball port(clk,clr,way,en:in std_logic; ballout:out std_logic_vector(7 downto 0)); end component; component board port(ball,net,bclk,serve:in std_logic; couclk,serclk:out std_logic); end component; component cou10 port(clk,clr:in std_logic; cout:out std_logic; qout:out std_logic_vector(3 downto 0)); end component; component cou4 port(clk,clr:in std_logic; cout:out std_logic; qout:out std_logic_vector(3 downto 0)); end component; component mway port(servea,serveb:in std_logic; way:out std_logic); end component; signal net,couclkah,couclkal,couclkbh,couclkbl,cah,cbh:std_logic; signal serve,serclka,serclkb,serclk,ballclr,bdout,way,ballen:std_logic; signal bbll:std_logic_vector(7 downto 0); begin net=bbll(4);ballout=bbll;lamp=clk; uah:cou4 port map(couclkah,clr,cah,countah); ual:cou10 port map(couclkal,clr,couclkah,countal); ubh:cou4 port map(couclkbh,clr,cbh,countbh); ubl:cou10 port map(couclkbl,clr,couclkbh,countbl); ubda:board port map(bbll(0),net,bain,serve,couclkal,serclka); ubdb:board port map(bbll(7),net,bbin,serve,couclkbl,serclkb); ucpu:ba

文档评论(0)

151****6160 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档