实验三:状态机实现序列检测器设计.pdf

实验三:状态机实现序列检测器设计.pdf

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

实验三:状态机实现序列检测器设

计(总9页)

--本页仅作为文档封面,使用时请直接删除即可--

--内页可以根据需求调整合适字体及大小--

《FPGA》课程报告

设计题目:状态机实现序列检测器设计

学生班级:

学生学号:

学生姓名:

指导教师:

时间:

成绩:

2

一、实验目的:

1.理解有限状态机的概念;

2.掌握有限状态机的状态图的画法及其含义

二、实验原理:

本次实验的内容是:应用有限状态机设计思路,检测输入的串行

数据是否是””,本次实验由顶层文件、串行检测、并行数据转串

行、数码管显示四个模块组成。

1.并行数据转串行数据模块功能是:可以异步复位,可以在时钟控

制下,将并行输入数据din[7:0],按照din[7],din[6],din[5],

din[4],din[3],din[2],din[1],din[0]的顺序输出至串行检测模块的

输入端口din。

2.串行检测模块:

输入信号:DIN1bit的串行输入数据

CLK同步输入时钟

3

CLR异步清零信号,当CLR=1,系统输出置0,否

则,系统正常工作

输出信号:AB4bits数据,如果系统检测到“”这8bit的输入,

AB=4’b1010,否则,AB=4’b1011.

三、实验过程:

1.首先建立一个新的工程,添加一个新的VerilogModule文件,然

后写入并行数据转串行数据模块的代码,代码如下:

4

然后执行综合,确认无误后,新建一个TestBenchWaveForm文

件,进行仿真,仿真图如下:

2.再新建一个VerilogModule文件,在其中写入串行检测模块的代

码,代码如下:

5

然后执行综合,确认无误后,新建一个TestBenchWaveForm文

件,进行仿真,仿真图如下:

3.再新建一个VerilogModule文件,数码管显示模块的代码,代码

如下:

然后执行综合,确认无误后,新建一个TestBenchWaveForm文

件,进行仿真,仿真图如下:

6

4.编写顶层文件,将上面三个模块例化在一起,代码如下:

然后将新的顶层文件经行综合,确认无误后,新建一个Test

BenchWaveForm文件,进行仿真,仿真图如下:

5.添加消抖模块,然后编写新的顶层文件,将消抖模块与上面的模

块例化在一起,代码如下:

7

保存后生成新的顶层文件:

6.完成以上操作后,执行综合确认无误,经行锁引脚操作:

锁引脚完成后,编辑、修改约束文件:

8

7.生成下载配置文件,下载到开发板进行经行验证。

四、思考题:

说明本设计的代码表达的是什么类型的状态机,它的优点是什么

详述其功能和对序列数检测的逻辑过程

答:本实验代码表达的是Mealy型状态机,Mealy有限状态机的输

出不单与当前状态有关,而且与输入信号的当前值有关,Mealy有

限状态机的输出直接受输入信号的当前值影响,而输入信号可能在

一个时钟周期内任意时刻变化,这使得Mealy有限状态机对输入的

响应发生在当前时钟周期。

9

文档评论(0)

151****5730 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档