电子技术综合实验报告-秒表.pdf

  1. 1、本文档共14页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

电子技术综合实验报告

秒表设计

使

指导教师:

姓名:XX

学号:XX

(一)预习内容

1、熟悉ISE的混合设计流程

2、熟悉电子秒表的工作原理和设计方法

3、熟悉教材中有关电子钟的设计过程

(二)实验目的:

1、学习Verilog的基本结构

2、学习verilog的建模结构

3、了解计时的概念以及计时的一般方法

4、ISE软件和modelsim软件的基本使用,能够完成编程与

仿真。使

(三)实验内容和要求交

复习:数字电子技术基础

学习:用HDL设计电子电路

学习:Xilinx的FPGA设计

完成:一个设计型实验项目

(四)实验项目:计时范围为00’00”00~59’59”99,计时

结果用8只数码管显示;有两个按钮开关,一个按钮使秒表复位,

另一个按钮控制秒表的启动/暂停。

下图显示了该跑表前面板的基本排布构想。

Stopwatch

POWERRESETRUN/STOP

(五)实验内容:Verilog的语法,建模,设计时间测量的方法:

现在时间测量一般采用数字计器来进行

使

(六)实验原理流

秒表是一种常用的测时仪器。秒表的精度一般在0.1-0.2秒,计

时误差主要是开表、停表不准造成的。

秒表程序的逻辑结构较简单,它主要由显示译码器、分频器、十

进制计数器和六进制计数器组成。在整个秒表中最关键的是如何

获得一个精确的100Hz计时脉冲,除此之外,整个秒表还需有一

个启动信号和一个归零信号,以便秒表能随意停止及启动。

秒表共有6个输出显示,分别为百分之一秒、十分之一秒、秒、

十秒、分、十分,所以共有6个计数器与之相对应,6个计数器

的输出全都为BCD码输出,这样便与显示译码器的连接。

4个10进制计数器:用来分别对百分之一秒、十分之一秒、秒

和分进行计数;2个6进制计数器:用来分别对十秒和十分进行

计数;

分频率器:用来产生100Hz计时脉冲和1khz的扫描信号;显示译

码器:完成对显示的控制。各信号功能:

输入信号:

(1)代表归零,启动信号res、stop的管脚分别连接按键开关。

(2)代表扫描时钟信号clk的管

文档评论(0)

***** + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档