EDA 实验报告_原创精品文档.pdf

  1. 1、本文档共26页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

EDA实验报告

系(部、中心)电气信息工程学院

姓名王海棠学号

专业/班级电信09101

成绩教师签名

实验一QUARTUSII软件使用

一、实验目的

1、了解QUARTUSII软件安装与配置的基本方法。

2、熟悉QUARTUSII集成开发环境。

二、实验内容

1、安装并配置QUARTUSII。

2、通过创建一个简单的应用工程,初步熟悉QUARTUSII的使用,了解程序开发调试

过程。

三、实验设备与仪器

1、计算机

四、实验步骤

一、安装前的准备

1.1、WindowsXP操作系统(最好不要用Ghost精简版)

关掉杀毒软件和防护软件(例如瑞星、360安全卫士),防止安装过程中出现不必要的

麻烦。

1.2、QuartusII7.2安装文件

QuartusII和Altera公司配套的IP库。

1.3、QuartusII7.2破解文件

主要有3个文件:license.DAT、Quartus_II_7.2_b151破解器.exe、读我.txt。

二、Tips

最好不要把Quartus软件安装到C盘。

三、安装过程

3.1、光碟的内容

3.2、安装过程

选择安装的程序

选择了QuartusII和IP库,用户自定义安装(稍后可以选择安装路径)。

EDA实验报告

系(部、中心)电气信息工程学院

姓名王海棠学号

专业/班级电信09101

成绩教师签名

实验二LED设计实验

一、实验目的

通过此实验让用户逐步了解、熟悉和掌握FPGA开发软件QuartusII的使用

方法及VerilogHDL的编程方法。

本实验力求以详细的步骤和讲解让读者以最快的方式了解EDA技术开发以

及软件的使用,从而快速入门并激起读者对EDA技术的兴趣。

二、实验内容

在DCPLD-2型CPLD实验板上准备了8个发光二极管L1~L8,其硬件原理图如图1所

示。在DCPLD-2型CPLD实验板中,标号LEDl~LED8与芯片的30、31、33~37和

39引脚相连,只要正确分配并锁定引脚后,在相应的引脚上输出低电平“o”,就可实现点

亮该发光二极管的功能。

图1发光二极管原理图

在DCPLD-2型CPLD实验板上演示实验的方法如下:

①新建工程项目文件led.pof,并在该项目下新建VerilogHDL源程序文件led.v或ledl.v,

输入上面的程序代码并保存。

②然后为该工程项目选择一个目标器件并对相应的引脚进行锁定,在此所选择的器件应

该是Altera公司的EPM7128SLC84—10芯片,引脚锁定方法如表1所列。

引脚信号引脚信号

30ledout035ledout4

31ledout136ledout5

33ledout237ledout6

34ledout339ledout7

2、闪烁灯

在DCPLD-2型CPLD实验板上已经为用户准备了8个发光二极管L1~L8,其硬件原理

图如图1所示。在DCPLD-2型CPLD实验板中,标号LEDl~LED8分别与芯片的

30、31、

33~37和39引脚相连,只要正确分配并锁定引脚后,在LEDl~LED8

文档评论(0)

各类考试卷精编 + 关注
官方认证
内容提供者

各类考试卷、真题卷

认证主体社旗县兴中文具店(个体工商户)
IP属地宁夏
统一社会信用代码/组织机构代码
92411327MAD627N96D

1亿VIP精品文档

相关文档