出租车自动计价器设计课件.pptVIP

出租车自动计价器设计课件.ppt

此“教育”领域文档为创作者个人分享资料,不作为权威性指导和指引,仅供参考
  1. 1、本文档共21页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

計程車自動計價器設計設計要求設計一個計程車計價器。該計價器的計費系統:行程3公里內,且等待累計時間2分鐘內,起步費為10元;3公里外以每公里1.6元計費,等待累計時間2分鐘外以每分鐘以1.5元計費。並能顯示行駛公里數、等待累計時間、總費用。主要技術指標①計價範圍:0~999.9元計價解析度:0.1元②計程範圍:0~99公里計程解析度:1公里③計時範圍:0~59分計時解析度:分系統組成它由外部輸入模組、FPGA模組、顯示模組三部分組成。FPGA時鐘信號計費/複位公里脈衝控制器分頻器等待信號計費計時計程解碼顯示模組設計1.分頻模組2.控制模組3.計量模組4.解碼模組5.顯示模組1.分頻模組分頻模組對頻率為240Hz的輸入脈衝進行分頻,得到的頻率為16Hz,15Hz和1Hz的三種頻率。2.控制模組控制模組是系統的核心部分,對計價器的狀態進行控制。3.計量模組完成下麵3個功能:計價功能計時功能計程功能計價部分:行程3公里內,且等待累計時間2分鐘內,起步費為10元;3公里外以每公里1.6元計費,等待累計時間2分鐘外以每分鐘1.5元計費。計時部分:計算乘客的等待累計時間。計時器的量程為59分,滿量程自動歸零。計程部分:計算乘客所行駛的公里數。計程器的量程為99公里,滿量程自動歸零。4.解碼模組計費數據送入顯示解碼模組進行解碼,最後送至百元、十元、元、角為單位對應的數碼管上顯示。計時數據送入顯示解碼模組進行解碼,最後送至分為單位對應的數碼管上顯示。計程數據送入顯示解碼模組進行解碼,最後送至以公里為單位的數碼管上顯示。5.顯示模組計價數據在以百元、十元、元、角為單位對應的數碼管上顯示。計時數據在以分為單位對應的數碼管上顯示。計程數據在以公里為單位的數碼管上顯示顯示。計程車計價器程式設計與仿真計程車計價器電路符號電梯程式端口定義entitytaxiisport(clk_240:instd_logic;--頻率為240Hz的時鐘start:instd_logic;--計價使能信號stop:instd_logic;--等待信號fin:instd_logic;--公里脈衝信號cha3,cha2,cha1,cha0:outstd_logic_vector(3downto0);--費用數據km1,km0:outstd_logic_vector(3downto0);--公里數據min1,min0:outstd_logic_vector(3downto0));--等待時間endtaxi;電梯程式中間信號定義signalf_15,f_16,f_1:std_logic;--頻率為15Hz,16Hz,1Hz的信號signalq_15:integerrange0to15;--分頻器signalq_16:integerrange0to14;--分頻器signalq_1:integerrange0to239;--分頻器signalw:integerrange0to59;--秒計數器signalc3,c2,c1,c0:std_logic_vector(3downto0);--制費用計數器signalk1,k0:std_logic_vector(3downto0);--公里計數器signalm1:std_logic_vector(2downto0);--分的十位計數器signalm

文档评论(0)

子不语 + 关注
官方认证
服务提供商

平安喜乐网络服务,专业制作各类课件,总结,范文等文档,在能力范围内尽量做到有求必应,感谢

认证主体菏泽喜乐网络科技有限公司
IP属地山东
统一社会信用代码/组织机构代码
91371726MA7HJ4DL48

1亿VIP精品文档

相关文档