FPGA实验指导书_原创文档.pdfVIP

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

安徽工程大学FPGA实验指导书

实验一跑马灯

一、实验任务

让实验板上的26个LED灯实现跑马灯的功能,通过这个实验

使同学们掌握用计数与判别的方式来实现分频Verilog的编程方法,

以及移位寄存器的使用技巧。

二、实验环境

硬件实验环境为安徽工程大学型号为EP4CE115F29C8增强版开

发套件。

软件开发环境为QuartusII11.0开发软件。

三、实验原理

从LED[0]到LED[25]依次点亮,第二个灯亮时第一个灯灭,每个

灯亮灭的交换时间为1S;看上去的效果一个亮点从LED[0]跑向

LED[25],然后重复此循环,故命名为跑马灯。初始状态时,LED[0]

亮,其他的灯都是出于灭的状态,每来一个时钟clk时计数器加1,

每当判断计数器的值加到2499999时,就会点亮LED[1],同时LED[0]

熄灭,并进行移位,这样,依次点亮所有的灯,就形成了跑马灯,而

当LED[25]个灯亮时,需要一个操作使得恢复为初始的状态,即:

LED[0]亮,其余的灯灭。然后再进行一次跑马灯,重复此循环。如果

是右移位时,就会出现向右跑马灯的现象,反之向左跑马灯现象。

四、参考代码

moduleshift_led(

安徽工程大学FPGA实验指导书

inputclk,

inputrst_n,

output[25:0]LED

);

reg[24:0]clk_10hz_cnt;

regclk_10hz;

reg[25:0]shift;

parameterclk_10hz_cnt_MAX=25d2499999;

assignLED=shift;

always@(negedgerst_norposedgeclk)

begin

if(!rst_n)begin

clk_10hz_cnt=25b0;

clk_10hz=1b0;

end

elsebegin

if(clk_10hz_cnt==clk_10hz_cnt_MAX)begin

clk_10hz_cnt=25b0;

clk_10hz=~clk_10hz;

end

安徽工程大学FPGA实验指导书

elsebegin

clk_10hz_cnt=clk_10hz_cnt+25b1;

end

end

end

always@(negedgerst_norposedgeclk_10hz)

begin

if(!rst_n)begin

shift=26h01;

end

elsebegin

shift={shift[24:0],shift[25]};

end

End

endmodule

五、实验要求

1.上板调试出至少三种跑马灯效果

2.提交电子版实验报告

安徽工程大学FPGA实验指导书

实验二

文档评论(0)

180****5237 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档