基于FPGA的电梯控制器系统设计.docx

  1. 1、本文档共35页,其中可免费阅读11页,需付费170金币后方可阅读剩余内容。
  2. 2、本文档内容版权归属内容提供方,所产生的收益全部归内容提供方所有。如果您对本文有版权争议,可选择认领,认领后既往收益都归您。
  3. 3、本文档由用户上传,本站不保证质量和数量令人满意,可能有诸多瑕疵,付费之前,请仔细先通过免费阅读内容等途径辨别内容交易风险。如存在严重挂羊头卖狗肉之情形,可联系本站下载客服投诉处理。
  4. 4、文档侵权举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

PAGE1

PAGE1

基于FPGA的电梯控制器系统设计

PAGEI

PAGEI

摘要

随着社会经济的高速发展,各大城市中高楼大厦平地而起,作为交通运输工具的电梯前的继电器控制,发展到使用FPGA进行控制,电梯的控制系统更加的微型化、智能化。随着嵌入式技术的发展,电梯将会向着高速、节能和绿色等方面发展。

在电子项目设计方面,FPGA有着它独有的优势,它巧妙的将硬件与软件相结合,源程序使用VHDL硬件描述语言进行设计,电梯在楼层间的运行通过有限状态机来实现,通过不同的信号来转换电梯运行状态。在QuartusII软件中设计整个系统,使用VHDL语言设计硬件程

您可能关注的文档

文档评论(0)

海上文化 + 关注
实名认证
内容提供者

各种文档资料分享,有特别需要可以留言

1亿VIP精品文档

相关文档