基于FPGA的高效FIR滤波器设计与实现.pdfVIP

基于FPGA的高效FIR滤波器设计与实现.pdf

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

第1期电手元器件主片jVo1.13No.1

2Ol1年1月ElectronicComponent&DeviceApplicationsJan.20l1

doi:lO.3969/j.issn.1563—4795.2011.01.003

基于FPGA的高效FIR滤波器设计与实现・

饶知

(电子科技大学自动化工程学院,四川成都611731)

摘要:给出了一种基于FPGA的数字滤波器的设计方法该方法先通过MATLAB设计出一个

具有具体指标的FIR滤波器,再对滤波器系数进行处理,使之便于在FPGA中实现。然后采用

基于分布式算法和CSD编码的滤波器结构进行设计,从而避免了乘法运算,节约了硬件资源。

其流水线的设计方式也提高了运行速度。Matlab和Modelsim仿真表明,该设计功能正确,能

实现快速滤波

关键字:数字滤波器;分布式算法;Matlab;FPGA

0引言

数字滤波器在语音与图像处理、模式识别、y)=()()=∑)^一后):∑一后)(1)

k--0k=0

雷达信号处理、频谱分析等应用中都具有重要作其中,)(为滤波输出,(n)为采样数

用。它能避免模拟滤波器所无法克服的温漂和噪

据,h(n)为滤波器抽头系数。其结构如图1(a)

声等问题,同时比模拟滤波器精度高、稳定性

所示,图中,N一1阶FIR滤波器要用N个系数描

好、体积小、更加灵活,因而得到广泛应用。在

述,通常,需要N个乘法器和N一1个两输人加法器

声波测井中.通常需要对信号进行精确的滤波,才能实现。不难发现,乘法器的系数正好是传输

并且对滤波器具有严格的实时性要求。本文利用函数的系数,因此,该结构且称为直接型结构。

辅助Matlab设计工具,设计了一种基于FPGA且可

对于系数对称的FIR线性相位滤波器。可将

满足测井需求的高阶快速数字滤波器。

式f1)写成如下形式:

f』v一11,2

1线性相位FIR滤波器结构1●一

y(=(n)(n)=22([)+V一1一.}i)】(J})(2)

k---0

数字滤波器的种类很多.分类的方法也不尽系数对称的改进型FIR滤波器的结构如图1

相同。从数字滤波器的单位冲击响应来看,数字

滤波器分为有限冲激响应数字滤波器fFIR1和无

限冲激响应数字滤波器fIIR)。相对于IIR滤波器,

FIR滤波器可以进行准确的线性相位设计,而且

其结构具有稳定的量化滤波器系数。针对于声波a)(直接型结构

测井处理具有线性相位要求的声波信号,FI

文档评论(0)

***** + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档