数字电路实验-数字闹钟.pdfVIP

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

南京航空航天大学数字电路课程设计之数字钟

数字电子技术课程设计报告

一、设计目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机

械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿

命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括

了组合逻辑电路和时序电路。因此,我们此次设计与制作数字钟就是为了了解数字

钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作

中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和

时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使

用方法.

二、设计内容及要求

(1)设计指标

①由LM555构成多谐振荡器产生1HZ标准秒信号;

②分、秒为00~59六十进制计数器,用数码管显示;

③时为00~23二十四进制计数器,用数码管显示;

④具有校时功能,可以分别对时及分进行单独校时,使其校正到

标准时间;⑤整点具有报时功能,走时过程中能按预设的定时时间(精确到小

时)启动闹钟,以发光二极管闪烁表示,启闹时间为3s〜10s。

(2)设计要求

①画出电路原理图(multisim仿真);

②元器件及参数选择;

③电路仿真与调试。

(3)要求:自行装配和调试,并能发现问题和解决问题。布局合理,导线横平

竖直,且不要从集成块上跳线,导线紧贴面包板,连接可靠,交叉线尽可能少。

(4)编写设计报告:写出设计与制作的全过程,附上有关资料和图纸,有心得

体会。三、原理框图

1HZ

数字钟实际上是一个对标准频率(进行计数的计数电路。由

于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时

电路,同时标准的1HZ时间信号必须做到准确稳定。数字电子钟的总体

图如图所示。数字电子钟由以下几部分组成:多频振荡器构成的秒脉冲发生器;校

对电路;六十进制秒、分计数器、二十进制时计数器;以及秒、分、时的译码显示部

分等。

四.主要部分的实现方案

1秒脉冲电路

可采用LM555构成多谐振荡器,调整电阻可改变频率,使之产生1Hz的脉冲信号

(即T=1S)如图示:

T

utn

1**1

II11)

555

「11

2时间计数器电路

由6个74LS163计数器组成时分秒的计数电路,74LS163是能响应

时钟脉冲上升沿、可以同步复位、同步预置的模16同步加法计数器。

(1)

秒计数器

秒的个位计数单元为10进制计数器,当QDQCQB变成1010时,通过与非门把它

的清零端变成0,计数器的输出被置零,跳过1011到1111的状态,又从0000开始,

如此重复。秒的十为计数单元为6进制,当QDQCQBQA成0101时,通过与非门把它的

清零端变成0,计数器的输出被置零,跳过0110到1111的状态,又从0000开始,如

此就是60

进制。同时秒十位上的0101时,要把进位信号传输给“分”个位的计数单元。(2)

分计数器分的个位和十位计数单元的状态转换和秒的是一样的,只是它要把进位信

号传输给时的个位计数单元。(3)时计

QQ

数器当“时”十位的QDQB

文档评论(0)

177****5884 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档