Verilog HDL 实验报告_原创文档.pdf

  1. 1、本文档共13页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

实验一Modelsim仿真软件的使用

一、实验目的

(1)熟悉Modelsim软件

(2)掌握Modelsim软件的编译、仿真方法

(3)熟练运用Modelsim软件进行HDL程序设计开发

二、实验内容

1、实验要求

用VerilogHDL程序实现一个异或门,Modelism仿真,观察效果。

2、步骤

1、建立工程

2、添加文件到工程

3、编译文件

4、查看编译后的设计单元

5、将信号加入波形窗口

6、运行仿真

3、方法

moduleyihuo(a,b,c);

inputa,b;

outputc;

assignc=a^b;

endmodule

测试程序:

modulet_yihuo;

rega,b;

wirec;

initial

begin

a=0;

forever#20a=~a;

end

initial

begin

b=0;

forever#30b=~b;

end

yihuou1(a,b,c);

endmodule

二、实验结果

波形图:

三、分析和心得

通过这次的实验,我基本熟悉Modelsim软件,掌握了Modelsim软件的编

译、仿真方法。同时在编写程序的过程中,加深了我对课上所讲的HDL的语法

的认识。

实验二简单组合电路设计

一、实验目的

(1)掌握基于Modelsim的数字电路设计方法

(2)熟练掌握HDL程序的不同实现方法

二、实验内容

1、实验要求

设计一个三人表决器(高电平表示通过),实验内容如下:

(1)三个人,一个主裁判,两个副裁判;

(2)规则:只要主裁判同意,输出结果为通过;否则,按少数服从多数原

则决定是否通过。

使用VerilogHDL程序实现上述实验内容,并使用modelsim仿真。

2、方法

moduletest(a,b,c,s);

inputa,b,c;

outputs;

assigns=c|(ba);

endmodule

modulet_test;

rega,b,c;

wires;

initial

begin

a=0;

forever#10a=~a;

end

initial

begin

b=0;

forever#20b=~b;

end

initial

begin

c=0;

forever#40c=~c;

end

testu1(a,b,c,s);

endmodule

三、实验结果

四、分析和心得

通过本次实验,我掌握基于Modelsim的简单数字电路设计方法,且尝试了

用不同方法实现功能,三人表决器可以通过testbench测试程序实现,也可以利

用always模块实现,可见程序的设计思想是很重要的。

实验三二进制全加器设计

一、实验目的

(1)熟悉VerilogHDL元件例化语句的作用

(2)熟悉全加器的工作原理

(3)用VerilogHDL语言设计四位二进制全加器,并仿真,下载验证其功

二、实验内容

1、实验要求

(1)用VerilogHDL语言描述一位全加器,并使用modelsim仿真验证结

果。

(2)用VerilogHDL元件例化语句实现四位全加器,modelsim仿真验证

结果。

(3)设计程序将加法结果分开输出,即十位数和个位数分别用四位数组表

示。

2、方法

moduleadd1(a,b,c,s,sc);

inputa,b,c;

outputs,sc;

assign{

文档评论(0)

各类考试卷精编 + 关注
官方认证
内容提供者

各类考试卷、真题卷

认证主体社旗县兴中文具店(个体工商户)
IP属地河南
统一社会信用代码/组织机构代码
92411327MAD627N96D

1亿VIP精品文档

相关文档