学习用multisim软件对电路进行仿真.pdfVIP

学习用multisim软件对电路进行仿真.pdf

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、有哪些信誉好的足球投注网站(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

.

电路分析实验报告

..

.

实验二

学习用multisim软件对电路进行仿真

一.实验要求与目的

1.进一步熟悉multisim软件的各种功能。

2.巩固学习用multisim软件画电路图。

3.学会使用multisim里面的各种仪器分析模拟电路。

4.用multisim软件对电路进行仿真。

二、实验仪器

电脑一台及其仿真软件。

三.实验内容及步骤

(1)在电子仿真软件Multisim基本界面的电子平台上组建如图

所示的仿真电路。双击电位器图标,将弹出的对话框的“Valve”选项

卡的“Increment”栏改成“1”,将“Label”选项卡的“RefDes”栏改成“”。

R

P

..

.

2)调节R大约在35%左右时,利用直流工作点分析方法分析直

P

流工作点的值。直流工作点分析(DCOperatingPointAnalysis)是

用来分析和计算电路静态工作点的,进行分析时,Multisim自动将

电路分析条件设为电感、交流电压源短路,电容断开。

单击Multisim菜单“Simulate/Analyses/DCoperatingPoint…”,

在弹出的对话框中选择待分析的电路节点,如2图所示。单击

Simulate按钮进行直流工作点分析。分析结果如图3所示。列出了

单级阻容耦合放大电路各节点对地电压数据,根据各节点对地电压数

据,可容易计算出直流工作点的值,依据分析结果,将测试结果填入

表1中,比较理论估算与仿真分析结果。

..

.

表1静态工作点数据

V(V)UI(mA)I(mA)U(V)U(V)

1RCBQCQCEQBEQ

12.000004.58240.008821.419416.313530.63034

电压放大倍数测试

(1)关闭仿真开关,从电子仿真软件Multisim10基本界面虚

拟仪器工具条中,调出虚拟函数信号发生器和虚拟双踪示波器,将虚

拟函数信号发生器接到电路输入端,将虚拟示波器两个通道分别接到

电路的输入端和输出端,如图4所示。

(2)开启仿真开关,双击虚拟函数信号发生器图标“XFG1”,将

打开虚拟函数信号发生器放大面板,首确认“Waveforms”栏下选取的

是正弦信号,然后再确认频率为1kHZ”;再确认幅度为10mVp,如图

5所示。

..

.

四.仿真分析

动态测量仿真电路

..

.

(3)双击虚拟示波器图标“XSC1”,打开虚拟双踪示波器放大面板,

可以看到输入信号和放大后的输出信号波形如图6所示(注意:须

保持电位器的百分比为35%不变)。放大面板屏幕下方的各栏设置如

图。

..

.

(4)用鼠标按住屏幕左上角的两个读数指针,将它们分别拉倒

输入和输出正弦波的波峰位置,从屏幕下方“T1”右侧“Channel_A”下

方可以读出输入信号的幅值;从屏幕下方“T2”右侧“Channel_B”下方

可以读出输出信号的幅值,从而得到单级阻容耦合放大电路的电压放

大倍数。比较理论估算与仿真分析结果。

(5)先关闭仿真开关,在电路输出端再并

文档评论(0)

181****2422 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档